库存清单22
来源:    发布时间: 2019-11-04 12:50   12752 次浏览   大小:  16px  14px  12px

 

P/N/Description Qty
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump -1 Hour Tested Working Spare 1
Edwards iQDP40 Vacuum Pump - TEST 1
Edwards iQDP40 with QMB250 Vacuum Pump - TEST 1
Edwards iQDP40 with QMB250 Vacuum Pump - TEST 1
iQDP80 Edwards A532-80-905 Dry Vacuum Pump 22469 Hours Tested Working Spare 1
Neslab HX-75 - TEST 1
Neslab HX-150 - TEST 1
Edwards QDP40 with QMB250 - TEST 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump -3580 Hours Tested Working Spare 1
iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Working Needs Rebuild 1
HFG 2500 AE Advanced Energy 3155072-000B RF Power Supply Tested Working Spare 2
RFG 3000 AE Advanced Energy 3155038-000G RF Power Supply Tested Working Spare 1
Osaka Vacuum TD701/1101 Turbo Controller - TEST 6
RFG 5500 AE Advanced Energy 660-098719-001 RF Generator 3155051-011 Working 1
CX-2500 4MHz Comdel FP3304RH RF Generator - TEST 1
RFG 3000 AE Advanced Energy 660-024637-100 RF Power Supply Tested Working Spare 1
Comdel CPMX-3000/RJ/4 RF Matching Network Lam Research FPD Working Spare 1
Comdel CPMX-3000/4/RJ RF Matching Network Lam Research FPD Working Spare 1
Comdel FP2414R3 RF Matching Network CPMX-3000/4/RJ Lam Research FPD Spare 1
Osaka Vacuum TG113EM Turbomolecular Pump Turbo - TEST 5
Osaka Vacuum TG1113MBA Turbomolecular Pump Turbo - TEST 1
VAT 64246-XE52-1107 Gate Valve and PM-5 Controller 641PM-36PM-1001 Set Lam FPD 3
VAT 64246-XE52-1107 Gate Valve and PM-5 796-093088R001 Controller Set Lam FPD 1
VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-0002 Controller Set Lam FPD 1
VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-1004 Controller Set Lam FPD 1
Lam Research 853-540066-005-C-3117 End-Point Detector FPD Continuum Spare 2
Verity Instruments EP200Mmd .2 Meter Monochromator Detector Lam FPD Continuum 2
Lam Research TCP Autotune RF Match Controller Unit FPD Continuum 810-015987-101 3
Anafaze 11225-00 MLS AIM TB Assembly MLS-LAM Lam Research 778-091827-001 Working 1
Hine Design 10011-802 FPDVCI Control Emergency Stop PCB Lam FPD Continuum Spare 1
Pittman 676-5800 Servo Motor Sterling S9123A-PG022 Hine 1704-2311 Lam Continuum 2
MKS Instruments 93-4536 Ultra High Vacuum Right Angle Two-Stage Pneumatic Valve 2
Lam Research 853-032292-001-A-DFT RF Tune/Load Motor Assembly Lam Continuum 3
MKS Instruments 100763506G ISO-63 ISO-MF Flange Bellows Lam Continuum Working 2
MKS Instrumnets 152-0050K-S02 Isolation Vacuum Valve NW50 Lam Continuum Spare 2
Edwards C41419000 Vacuum Angle Valve PV40PKS-LAM Lam Research Continuum Spare 2
Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare 2
Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare 1
MKS Instruments 623A13TBE Baratron Capacitance Manometer Type 623 Working Spare 1
Tylan General CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare 2
Millipore CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare 1
Inficon 3CC1-351-2300 Vacuum Capacitance Diaphragm Gauge CDG045D CDG Spare 1
MKS Instruments 625A-12407 Baratron Capacitance Manometer Type 625 Working Spare 2
VAT 26328-KA11-1001 Pneumatic Right Angle Vacuum Valve Lam FPD Continuum Spare 3
Varian L6280-302 Manual Bellows Valve NW-25-H/O Lam FPD Continuum Working Spare 1
MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 Lot of 3 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM HCL Working Spare 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 1 SLM CL2 Working Spare 1
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM Ar Working Spare 2
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM CF4 Working Spare 3
UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM SF6 Working Spare 3
Aera FC-7700CD Mass Flow Controller MFC 500 SCCM Ar Working Spare 1
Aera FC-7700CD Mass Flow Controller MFC 1 SLPM CL2 9-Pin D Working Spare 1
Aera FC-7810CU Mass Flow Controller MFC 1 SLPM CL2 Card-Edge Working Spare 1
Aera FC-7810CU Mass Flow Controller MFC 500 SCCM HCL Working Spare 1
Aera FC-7800C Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
Aera FC-7810CU Mass Flow Controller MFC 100 SCCM O2 Working Spare 2
Aera FC-7800CU Mass Flow Controller MFC 100 SCCM O2 Working Spare 2
UNIT Instruments UPC-1300 Pressure Controller UPC MFC Lam 797-097183-104 Spare 2
UNIT Instruments UFC-1200A Mass Flow Controller 500 SCCM CHF3 Working Spare 1
UNIT Instruments UFC-1200A Mass Flow Controller Lam 797-098570-604 Working Spare 1
Precision Sensors D48W-14 Differential Pressure Switch Reseller Lot of 2 Spare 1
Precision Sensors E36W-H55 Absolute Pressure Switch Lam 768-093959-003 Lot of 2 1
MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 New 1
MKS Instruments 628B.1TBF2B Baratron Capacitance Manometer Working Spare 1
Hine Design 810-4930-01 Uno Motor Control PCB Lam Research FPD Continuum Spare 1
Lam Research 810-190402-001 ESC 5kV Power Supply Board PCB Continuum Spare 1
Orbot Instruments S2X-018009 PCB ORBOT-S2X-018008-REV A Lam Continuum Spare 1
Festo DSM-16-270-P-FW Rotary Actuator Pneumatic Cylinder Reseller Lot of 2 Spare 1
Lam Research 853-015982-001-D-3653 TCP RF Tune/Load Module FPD Continuum Spare 1
Lam Research 853-015982-001-D-C519 TCP RF Tune/Load Module FPD Continuum Spare 1
Lam Research 518-025348-001 Temperature Calibration Source FPD Continuum Spare 1
Lam Research 715-704170-001 Wafer Chuck Lift 715-704169-001 FPD Continuum Spare 1
Brooks Automation 001-1984 Robot Teach Pendant 001-1863-01 Working Spare 1
Verity EP200Mmd .2 Meter Monochromator Detector Lam 853-704360-001-E3 Spare 1
Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare 3
Lam Research 810-015987-101 TCP Autotune Board PCB Continuum Working Spare 1
Keyence SL-C Series Safety Light Curtain Set SL-C16F-R SL-C16F-T SL-R11 Spare 1
Deltron 11686XA Power Supply 666 Watts Lam Research FPD Continuum Working Spare 2
Deltron 11686XB Power Supply 666 Watts Lam 853-707255-001 FPD Continuum Working 2
Brooks Automation 001-7338-09 Robot MagnaTran 60 Lam FPD Continuum Spare As-Is 1
Dell Optiplex 755 Alliance Envision Backup Computer Lam Research Continuum Spare 1
Kimball 70-00153-01 System Computer Lam Research 685-097073-241 Continuum Spare 1
FEI Company CLM-3D System Computer PC 200mm CLM DualBeam Metrology System As-Is 1
QMB Edwards QMB250 Vacuum Pump Mechanical Booster Vacuum Pump Tested Working 1
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump Tested Working Needs Rebuild 3
Edwards A52857000 iQDP Seal Purge Module Dry Vacuum Pump Tested Working Spare 3
Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2110 Spare 3
QMB Edwards QMB250F Vacuum Pump Mechanical Booster Vacuum Pump Tested Working 1
Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2120 Spare 2
Edwards A52858000 QDP Gas Ballast Module Dry Vacuum Pump Tested Working Spare 1
Edwards D37211920 Gas Flash TP/XF-78 Control PCB D37211202 801-1047-01 Spare 1
Kofloc 3810U Mass Flow Sensor 60L/Min N2 Edwards Dry Vacuum Pump Working Spare 1
Anafaze 11225-00 MLS AIM TB Temperature Unit MLS-LAM Lam 778-091827-001 Spare 1
Seiko Precision VP-4500 Thermal Video Printer 220-240VAC Working Spare 1
FEI Company 4035-285-18801 Microscope Stage Control Panel 24470 CLM-3D Spare 1
APTech AP1010S 2PW MV4 FV4 Manual Regulator Valve Reseller Lot of 12 Working 2
Lam Research 853-707093-001 RF Cable 4.5 Feet FPD Continuum Working Spare 2
Lam Research 853-707092-002 RF Cable 7.5 Feet FPD Continuum Working Spare 2
Lam Research 853-707092-003 RF Cable 7.5 Feet FPD Continuum Working Spare 1
Lam Research TRU-6834A-08L1 RF Cable 7.5 Feet FPD Continuum Working Spare 1
VAT 81392 Master/Slave Interface Cable Adaptive Pressure Controller PM-5 Spare 3
CTI-Cryogenics 8080025K001 Adsorber Helium Filtration Cartridge IS-1000 Used 1
RFX 600A AE Advanced Energy 3155082-331 D RF Generator Tested Working Spare 3
iQDP40 Edwards iQ Dry Pumping System Vacuum Pump 2 Hours Tested Working Spare 1
Lam Research 853-017160-002-F-C301 Rear EMO Assembly PCB 810-017003-004 Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM N2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM O2 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 100 SCCM CF4 Working Spare 1
UNIT Instruments UFC-8160 Mass Flow Controller MFC 500 SCCM N2 Working Spare 1
Tokyo Electron Ltd. / TEL D124680-150 Sputter Shield. New Old Stock < 2
TEL Tokyo Electron CT2985-436088-W5 SCT-R Coat Process Station Cup Assembly Used 1
Nikon 4S018-384 Driver Card PCB AFDRVX2 NSR-S202A Step-and-Repeat Working Spare 1
Nikon 4S018-354 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Spare 1
Nikon 4S015-119 Processor Board PCB Card NK386SX4 4S015-150 NSR System Spare 1
Nikon 4S018-351-A Control Board PCB Card OPDCTRL2 NSR System Working Spare 1
AMAT Applied Materials 0200-02597 300mm Internal Insulator Rev. 003 Refurbished Unlisted 3
AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working unlisted 1
AMAT Applied Materials 0010-21748 RF Match Preclean/RPC PVD Assembly Rev. 007 CU Used Unlisted 1
AMAT Applied Materials 0040-62718 Bond 300mm Electrode Single Crystal Showerhead New 1
AMAT Applied Materials 0020-06108 LID HPM Dual Gas Feed 300mm DPS 601-108-45 New 1
AMAT Applied Materials 0200-05638 Blade Ceramic Open 300mm New 1
AMAT Applied Materials 0190-A1490 Rev. A Ceramic Wafer Chuck AP32DDR-RF Refurbished 1
Nikon 4S015-129 Processor Board PCB Card BUSMON4 NSR System Working Spare 1
Nikon 4S018-379 Scanner Board PCB Card SPIOX2 NSR System Working Spare 1
iH1000 Edwards A533-42-945 Dry Vacuum Pump System Codes 15201 3912 Tested As-Is 1
Alcatel ASM 180TD Leak Detector 1
Varian 936-40 Leak Detector 1
Ebara AAS300WN Dry Vacuum Pump AAS Series Needs Flow Switch Tested Working 1
ENI OEM50 RF Solid Stste Power Generator 1
ENI OEM-50N RF Solid Stste Power Generator 1
Met One 2083993-04 Particle Counter 3315-.5-1-SS Pacific Scientific Working 1
Tencor Surfscan 7000 Patterned Wafer Analyzer 1
Met One A2408-1-115-1 Particle Counter 1
AE Advanced Energy Pinnacle 6kW 1
CTI-Cryogenics 8052261 Cryo Compressor 8010 Controller New Surplus 4
Electroglas Horizon 4085X 8" Automated Prober System 1
K&S 982-6 Plus Precision Dicing System 1
ETO 80-S04 RF Generator Rack 1
ENI OEM-50N RF Plasma Generator 1
SVG Rite Track 8826-28-36 88 Series Photoresis Coater 1
SVG 8826-8836 88 Series Photoresist Coater 1
IPUP T100P Toyota 3620-00463 Vacuum Dry Pump AMAT Pump Overload Not Working 1
Tegal 803 Inline Automatic Plasma Etcher 1
K&S 982-6 Wafer Dicer 1
Headway Research PWM32-PS-R790 Photoresist Spinner 1
Solitec 5110 Single Head Wafer Processing Photoresist Spin Coater 1
CTI-Cryogenics 8135900G001 9600 Cryopump Compressor Tested Not Working 1
AMAT Applied Materials HDP Chamber 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
Leybold UL 500 Helium Leak Detector 1
CTI-Cryogenics 8112854 On-Board 8 Cryopump FastRegen 24591 Hours Tested As-Is 1
CTI-Cryogenics 8116014G002 On-Board 8 Cryopump 32767 Hours Tested Working 1
CTI-Cryogenics 8112855 On-Board 8 Cryopump FastRegen 32767 Hours Tested Working 1
Pfeiffer TMU 261 Turbomolcular Pump and Controller 1
Pfeiffer TMU 261 Turbomolcular Pump and Controller 1
Leybold NT20 Turbomolcular Pump Controller 1
UNIT Instruments DX-5 MFC Mass Flow Controller Digital Control System Working 1
Comdel CPS 1000/4 RF Generator 4.00 MHz with Match Pro 1
Turbo-V 70LP MacroTorr Varian 9699366 Turbomolecular Pump Turbo Tested Working 1
Turbo-V 70D MacroTorr Varian 969-9361S008 Turbomolecular Pump Turbo Tested 1
Turbo-V 300HT MacroTorr Varian 9699037 Turbomolecular Pump Turbo Tested Working 1
Varian 969-9385 Turbomolecular Pump - TEST 1
Edwards STP-A3003CV Turbomolecular Pump No Levitation ISO250F Turbo Tested As-Is 1
ATH 1603M Adixen 804533 Turbomolecular Pump Pfeiffer Turbo New Surplus 2
ATH 1603M Adixen 804533 Turbomolecular Pump Y25221B0 Pfeiffer Turbo New Surplus 1
MKS Instruments 146B-ACONM Vacuum Gauge Measurement & Control System Spare 1
Shimadzu FTI-2301D (T1)-D3R Turbomolecular Pump Controller Turbo 3Z80-000025-V1 1
ENI OEM-12 RF Generator 1
ENI OEM-12 RF Generator 1
STP-A3003CV Edwards PT43-96-040 Turbomolecular Pump No Levitation Tested As-Is 1
ENI LPG-1 RF Generator 1
ENI RFC-2000 Controller 1
ENI HF-300T RF Generator 1
ENI HF-300 RF Generator 1
Ulvac CRTM-9100G Crystal Oscillation Type Deposition Controller Working Spare 1
UNIT Instruments UCAL-1000 Mass Flow Transfer Calibrator Working Spare 1
AE Advanced Energy Cesar 2720 RF Power Supply 1
ENI RFC-4T Controller 1
TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Controller Turbo Tested 1
TMU 1001 P Pfeiffer PM P03 305 G Turbomolecular Pump Turbo - TEST 1
TMU 200M P Pfeiffer PM P03 405-A Turbomolecular Pump Turbo Tested Working 1
TCM1601 Pfeiffer PM C01 677 Turbomolecular Pump Controller Turbo Tested Working 1
TCM1601 Pfeiffer PM C01 677A Turbomolecular Pump Controller Turbo Tested Working 1
TURBOTRONIK NT 20 Leybold 855 62 Turbomolecular Pump Controller V1.2 Tested 1
TURBOTRONIK NT 20 Leybold 857 21 Turbomolecular Pump Control  V1.4 Bent Tested 1
TURBOTRONIK NT 20 Leybold 857 20 Turbomolecular Pump Control NT20 SW2.6 Scuffs 1
TCP600 Pfeiffer PM C01 320 C Turbomolcular Pump Controller Tested Working Spare 1
TMH 1600 P C Pfeiffer PM P02 469 Turbomolcular Pump Novellus 27-050054-00 Tested 1
TMH 1600 C Pfeiffer PM P02 469 Turbomolecular Pump Novellus 27-250054-00 Tested 1
TCP600 PfeifferTurbomolculer Controller - FOR TEST DEPARTMENT 1
Pfeiffer PM 041 4440-X Turbomolecular Pump Signal and AC Cable Set 3M TMH 1600 1
TURBOTRONIK NT 20 Leybold 857 21 Turbomolecular Pump Controller NT20 V1.4 Tested 1
TURBOTRONIK NT 20 Leybold 855 62 Turbomolecular Pump Controller Tested Working 1
TURBOVAC 151 C Leybold Vacuum 85635 Turbomolecular Pump Turbo Tested Working 1
TURBOVAC 361 Leybold 85670 Turbomolecular Pump Turbo Binding Bearing As-Is 1
XDS 35i Edwards A730-01-983 Dry Scroll Vacuum Pump 3978 Hours Tested Working 1
iH80 SYSTEM Edwards A533-81-945 Vacuum Pump System Codes 412 812 Tested As-Is 1
ASTeX AX3120 1.5kW Circulator Directional Couple Plasma-Therm SLR 770 Spare 1
ASTeX Applied Science & Technology TSM2 Waveguide Auto Tune Plasma-Therm SLR 770 1
ASTeX Applied Science & Technology LS Waveguide Assembly Plasma-Therm SLR 770 1
ASTeX SXRHA 10kV 2.45GHz Microwave Head Assembly Plasma-Therm SLR 770 Working 1
RFPP RF Power Products AM-5 Dual RF Match Network with Switch Box Plasma-Therm 1
TURBOTRONIK NT 150/360 Leybold 85472-3 Turbomolecular Pump Controller - TEST 1
VAT 641PM-16PL-1002 Adaptive Pressure Controller PM-5 87895-R1 Working Spare 1
VAT 640CV-99LJ Gate Valve Pressure Controller Cable 33' Series 64 Working Spare 1
MKS Instruments 290C-01 Ion Gauge Controller Type 290 Working Spare 2
MKS Instruments 290C-01 Ion Gauge Controller Type 290 Faulty Switch As-Is Spare 1
MKS Instruments 286 2 Channel T.C. Thermocouple Controller Working Spare 2
VAT F12-60366 Pneumatic Gate Valve 4" Plasma-Therm SLR 770 Working Spare 2
ASTeX Applied Science & Technology AX3045-3 Smart Tuner Plasma-Therm SLR 770 1
PlasmaQuest S-1000i Microwave Power Generator ASTeX AX2110 Plasma-Therm SLR 770 1
ASTeX 00473081 ECR Power Supply EMS 27-185-2-D-10T-0697A Plasma-Therm SLR Spare 1
ASTeX 00473103 ECR Power Supply EMS 20-125-2-D-10T-0697A Plasma-Therm SLR Spare 1
Micro Alliance 714 System Control Computer Plasma-Therm SLR 770/770MF Spare 1
RF5S RFPP RF Power Products RF-5S SE#037 RF Generator - TEST 1
VAT DN250CF HV High Vacuum Gate Valve ASS 81518-R1 Plasma-Therm SLR 770/770MF 1
ASTeX Applied Science & Technology ECRM Magnet Assembly Plasma-Therm SLR 770 1
MKS Instruments 1479A22CR1BM--S Mass Flow Controller MFC 50 SCCM CF4 Working 1
MKS Instruments 1160B-00100RV Mass Flow Controller MFC 100 SCCM N2 Working Spare 1
MKS Instruments 1160B Series Mass Flow Controller MFC 50 SCCM BCL3 Working Spare 1
MKS Instruments 1160B-00010RV-SPCAL Mass Flow Controller MFC 10 SCCM He Working 2
MKS Instruments 1160B-00050RV Mass Flow Controller MFC 50 SCCM N2 Working Spare 4
UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01058 Working Spare 1
Tylan General FC-260 Mass Flow Controller MFC 50 SCCM N2 Working Spare 1
Tylan General FC-260 Mass Flow Controller MFC 100 SCCM N2 Working Spare 1
Tylan General FC-260KZ Mass Flow Controller MFC 100 SCCM N2 Working Spare 1
MKS Instruments 124AA-00010AB Baratron Pressure Transducer Working Spare 1
MKS Instruments 623A13TDE Baratron Pressure Transducer Working Spare 1
MKS Instruments 628A-13114 Baratron Pressure Transducer Working Spare 1
MKS Instruments 627A.1TCD Baratron Pressure Transducer Working Spare 1
MKS Instruments 623A13TCE Baratron Pressure Transducer Working Spare 1
MKS Instruments 623A13TCE Baratron Pressure Transducer No Cap Ring Working Spare 1
Plasma-Therm 200mm Wafer End Effector 8" SLR 770/770MF Plasma Etching Spare 1
Plasma-Therm 1000-IS Low Entropy Systems Camera Assembly SLR 770/770MF Working 1
MKS DN16CF Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2 1
MKS DN40CF Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2 1
MKS NW25 Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2 1
MKS Pneumatic Angle Vacuum Valve Lot of 3 NW25 NW40 Plasma-Therm SLR HPS Working 1
MKS Instruments 153D-4-100-1 Throttle Control Valve Type 153 Plasma-Therm Spare 1
Plasma-Therm 79578 Status Interlock PCB Module SLR 770/770MF Working Spare 1
Plasma-Therm 4475507501 Relay Board PCB SLR 770/770MF Working Spare 1
Leybold 85766-001-5M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable 5M Spare 1
Leybold 857 66 5M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable Unmarked 1
Leybold 85765-000-3M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable 3M Spare 1
Micron P90PCI Operator Interface Computer PC Plasma-Therm SLR 770/770MF Spare 1
JDS Uniphase 2202-5BLT .5W Air Cooled Argon Laser Head Working Spare 1
CTI-Cryogenics 8031300 8500 Helium Compressor Power Supply Assembly New Surplus 2
Tencor Instruments 136867 Laser Shuter MOD PCB Assembly Working Spare 1
Met One 2087142-03 Particle Counter 3313LL .3 1 CFM SS Pacific Scientific 1
ESI Electro Scientific Industries 77944A Scribeview Electronics Camera Module 1
ESI Electro Scientific Industries 66200 Illuminator Module 9250 Laser Tool Spare 1
Condor HDD15-5-A+ DC Power Supply ±15V Power-One Working Spare 1
Condor HDD24-7.2-A+ DC Power Supply 24V Power-One Working Spare 1
Edwards A52844480 Vacuum Pump im Interface Module LAM TCP Working Spare 2
Edwards A52844410 Vacuum Pump im Interface Module Applied Smart Pump Working 1
Nor-Cal Products 67211.00024.999 Pneumatic Angle Isolation Valve NW25 Working 1
Brooks Automation 118795 Power Supply PRI Automation 2002-0035 Working Spare 1
Edwards iXH1820 Vacuum Pump - TEST 1
Edwards iXH1820 Vacuum Pump - TEST 1
iGX600M Edwards A546-32-958 Dry Vacuum Pump Combination System New Surplus 1
ESI Vision Products TurboHR+ Camera Module INTELLEDEX 9250 Working Spare 1
ESI Electro Scientific Industries AC Power Control Unit 9250 Laser System Spare 1
ESI 61321 B.P. Electronics Power Supply 9250 Laser System Working Spare 1
ESI 61323 AUX. Electronics Power Supply 9250 Laser System Working Spare 1
CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump 40106 Hr Copper Tested Working 1
CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump 40135 Hr Copper Tested Working 1
ESI Electro Scientific Industries 76892 Power Distribution Unit 9250 Laser Spare 1
Kensington 4000C Robot Servo Positioning Controller ESI 9250 Laser Working Spare 1
Kensington Laboratories WFH4C TT/LR Tranfer Robot ESI 9250 Laser System Working 1
Kensington Laboratories Z-AXIS Robot Signal Cable 7.5 Foot ESI 9250 Working 1
Kensington Laboratories WAIST Robot Signal Cable 7.5 Foot ESI 9250 Working 1
Kensington Laboratories ARM Robot Signal Cable 7.5 Foot ESI 9250 Working 1
Genmark GENCOBOT 7/2L Wafer Transfer Robot GBR Philips PW 2830 XRF Working 1
ESI Laser Parts 1
Genmark Automation Remote Wafer Prealigner GENCOBOT Philips PW 2830 XRF Working 1
Silicon Control SV-FOC 020 Controller Brooks FALMO 300 OC Philips PW 2830 XRF 1
Secme CT.01109.PCX01 Keyboard Assembly Philips PW 2830 XRF Working Spare 1
Genmark Automation 910500012 Robot/Prealigner Cable 7.5 Foot Rev. 7 Working 2
Genmark Automation 910500012A Robot/Prealigner Cable 7.5 Foot Rev. A Working 1
Nijkerk Display Systems IP03.1069 14" Touchscreen Monitor Philips PW 2830 Spare 1
EDWARDS SCU-1600 1
EDWARDS SCU-1600 1
EDWARDS SCU-1600 1
Lam Plate, Cool GDP 10 Hole 810-02433R 4
Lam Plate Focus Ring Adapter Cera-R 810-02432R 13
Lam Cover Elctd Hsgesc 811-02493R working 17
Lam Focus Ring (outer) 413-054-00-2-0 New 5
Lam Liner Extendedheated Ceramic 810-02460R 3
LAM Research 710-7930-1 Rev C PCB 810-7930-1 490 590 Etcher Used Working 1
Lam Etcher 490  590 Motor PCB 474-1-C-677-385  055252 1
LAM Research 017-018-0390 Etcher 490 590 PCB 055258 1
Lam Etcher 490 590 PCB 055237 810-503-3 rev B 1
Tokyo Electron ES Ring Insulator A7HF0.5 1D05-300185-W1 new 4
Millipore Planargard Point-of-Use Filters CM1301E06 Lot of 6 new 1
Millipore Planargard Point-of-Use Filters CM1301E06 Lot of 6 new 1
LAM Research Etcher Chem Sply Valve Block 766-020032-001 new 3
LAM 6 Station Pneumatic Manifold 766-004254-003 new 1
Edwards D37215000 Vacuum Flash Module Used Working 5
PDX 500 AE Advanced Energy 3156024-105C RF Generator Used Working 2
Komatsu KIS-0007-3 Heat Exchanger Used Tested Not Working As-Is 1
Accurate Gas Control Systems 3019 Gas Control Heavy Black Tank Jacket Used 1
Carten Controls DPV 750/500 Duplex Valve Lot of 4 New 1
LAM Mesh Switch 0577-1-L-807-0787 working 1
Bold Technologies Pneumatic Polypro Pump 1046 1
WaFab ChemKleen Controller MPC-203 19
Integrated Circuit Development Corp. 6500 SCP Wet Sink Control 4
LAM 810-17041 PCB Brushless Motor Driver-Speed Control Board 2
Hine Design 04290-201 Process Chamber Elevator Gasonics 94-1118 1
VAT F12-95575 16mm Gate Valve 12124-KA44-0001 new 1
LAM Research 810-017016-001 PCB Stepper Motor Driver Board Assembly New 7
Lam Research 28-8875 Communication Board PCB ASM 28-8875-027 22-8875-003 New 1
Lynn Electrode Showerhead L147-G263SFG New 1
Lam Research Upper Baffle Plate 715-011902-001 new 1
Lam Research 716-140427-001 STD 6 ESC NCH Hot Edge Ring 15DEG New Surplus 1
LAM Focus Ring 8" ESC 716-330190-081 New 1
Ace Company CS33-333 Showerhead 233-2089-77 New Surplus 1
Lam Research 716-330190-081 Focus Ring 8" ESC Used Working 1
Lynn Electrode Showerhead L147-587513NC New 1
Lam Research Blank Cover 713-21816-1 Rev.B 1
AMAT Applied Materials 0220-03190 RF Monitor working 2
AMAT Applied Materials 0010-10010 Manual Control Gate Valve Precision 5000 1
Applied Materials AMAT 650247 Lid Flange 0010-15222 1
AMAT Applied Materials AC Window Assembly 0240-00598 3
AMAT Applied Materials Anti-Cloud Assembly 0090-00044 6
AMAT Applied Materials A.C. Window Control 0090-00045 12
Tylan General HPC-20 CDG Adapter Unit 2
Leybold 200 80 513 DRYVAC System Remote Controller M100S Used Working 2
Edwards D37215000 Vacuum Flash Module Used Working 31
PRI Automation GATEWAY-1000-CE-S2 300mm Load Port Gateway 1000 Used Working 1
Robitech 990-9131 Valve Module PCB 681-0181-009 980-2300 Series Used Working 23
Robitech 990-9131 Valve Module PCB 681-0181-009 980-2300 Series Used Working 5
AceCo Aluminum Cathode Upper Electrode CS33-054A Lam  new 2
SVG ASML 90S End Station CPU Board 99-80333-01 Rev. 2 Working 3
Parker Laser Stage Positioning System Model 4082 1
Cybor Pneumatic Interface Control Module ADS660-00 working 1
Ebara 350W Turbo-Molecular Pump 20M Controller working 2
Ebara Turbopump Controller 305W-A untested 1
Varian E15000040 Serial Loop Master PCB Card E14000040 Used Working 1
Varian TI PCB 80xp Ion Implanter Board TM990/310 1
TEL Tokyo Electron Focus Ring 3D10-201599-V1 25
SVG ASML 90S Interlock Board 99-80302-01 Rev. F Working 1
Delta Design Power Supply Control Board 1662998-501 Rev. F Working Lot (2) 1
Accel Implanter Control Panel AXDRC/21D working 1
SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used 4
TEL Tokyo Electron CLP Ring 200mm D127254 3
Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished 1
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV New Surplus 15
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV New Surplus 22
Panasonic MQDB015A1D01 AC Servo Motor Drive Used Working 3
BTU Engineering Video Interface Board 3161524 EPROM V2.3 Working 1
Leybold Coolvac Power Supply 844235 0190-03798 3
TESCOM Regulator 44-3261JRU2-376 50PSIG OUTPUT MAX 2
Verity Instruments 1005530AT Laser Optical Module APD220 AMAT 0190-10026 Used 2
AMAT Applied Materials 9090-00491 Network Module Used Working 1
Sanyo Denki PV1A015SFYNP50 Servo Amplifier BL Super PV Used Working 8
Sanyo Denki PV1A015SM51P50 Servo Amplifier BL Super PV Used Working 2
Sanyo Denki PV1A015SMT1P50 Servo Drive AMAT 1080-00053 Used Working 4
Sanyo Denki PV1A015SMT1P50 Servo Drive AMAT 1080-00053 Used Working 1
Sanyo Denki PV1A015SM61P50 Servo Amplifier BL Super PV AMAT 0870-01072 Used 4
Samsung Digital Servo Drive CSDJ-A5CK1  50W 1
Yaskawa SGDA-01APPY126 Servo Drive SERVOPACK TEL 2980-193384-11 ACT12 Used 1
Sanyo Denki BL Super PV Servo Drive PV1A015SM61P50DB1 1
Sanyo Denki PV1A030SGDNP50 BL Super Servo Drive Used Working 3
Sanyo Denki PV2B050SXX1AZ0 Servo Amplifier BL Super PV Used Working 1
MDC AV-150M-P Angle Valve 313029 New 1
VAT 0210X-CA24-BJC1 Monovat Rectangular Dual Slit AMAT 3870-04810 Refurbished 2
Newport Kensington 15-3701-1425-25 Robot AMAT 0190-19124CW 1
Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 CU Used 2
STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 100 SLM N2 Refurbished 2
LAM Research Leveling Electrode 515-011835-001 new 1
TEL Tokyo Electron Clean Track Unit Controller UC-510 working 1
Kokusai 3160711 PCB Serial Interface Board Used Working 1
Kokusai 3160711 PCB Serial Interface Board Used Working 1
Varian Filler Ring Assembly 108384004R-2 2
BTU Engineering Video Interface Board 3161524 EPROM V1.1 Working 1
Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working 1
Sanyo Denki PV1A015SM61P50 Servo Amplifier  BL Super PV AMAT 0870-01072 Used 2
Shimadzu EI-3203MD Turbo Molecular Pump Controller TMP Power Unit As-Is 1
GaSonics Valve Control PCB Assembly 90-1002-02 1
GaSonics Abort Reset Over Temp PCB Card 90-1033-02 1
GaSonics MFC/MFM Interface Board PCB 90-1036-01 1
SVG Spin Station Expansion Card PCB 99-80207-02 9
Alcatel Control Board PCB P0176-D working 7
Edwards A52850000 QDP Series 3 Exhaust Pressure Module Used Working 1
Shimadzu EI-281 TMP Power Source Used Working 1
Cymer Interface Board 05-05182-00 Working 4
BTU Engineering Video Interface Board 3181180 EPROM V2.1 Working 1
Cymer ADC Interface Board 05-05184-00 Rev. 011 Working 1
Cymer ADC Interface Board 05-05184-00 Rev. 001 Working 2
BTU Engineering System I/O Board 3161161 Rev. 7/14 Working 1
ASML ATWI SS2 MKII Carrier Conn PCA 4022.471.84321 new 1
IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 8241 Hours AMAT Tested As-Is 1
SAM Fantas SFC1483F MFC Mass Flow Controller N2, 60SLM 1
STEC Mass Flow Controllr SEC-Z12DWM Ar 20SLM 0190-16804 1
SAM Fantas MFC Mass Flow Controller SFC1480F H2 3SLM 1
SAM SFC480F3PD Mass Flow Controller AMAT 3030-00607 Used 1
Agilent ASML I/O Card PCB Z4206A working 2
Nor-Cal Products 3870-00165 Intellisys Pressure Controller AMAT Used Working 2
AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 10 New 2
Pacific Scientific Servo Controller SC755A040-08 SVG 90 1
Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV Used Working 17
Integrated Dynamics Engineering TC-V3 Isolation System working 1
LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working 3
LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working 2
Omron Power Controller G3PX-220EUN working 1
Brooks Automation 200mm Prealigner Chamber 10600-10 1
Agilent ASML WIPA PPCA PCB Board 4022.471.78842 new 1
TEL Tokyo Electron Short Comp. Pod Door Shield D114056 5
Millipore Wafergard F Inline Gas Filter lot C4NM6905 1
Sanyo Denki BL Super PV Servo Drive PV2A015WNF1A00 1
Millipore FC-2952MEP5-T Mass Flow Controller 10 SLPM He New 1
Orion Machinery ETS214-ATAA-A Pel Thermo Chiller Used Working 5
TEL Tokyo Electron Long Door Shield (11" Cath.) D115635 11
TEL Tokyo Electron S-2 Old Pod Shield D117579 new 8
MRC Materials Research 200mm Pod Shield D126409-200SP new 1
Horiba STEC SEC-7330M Mass Flow Controller 1 SLM O2 Refurbished 1
GaSonics Data Tech. 16-bit ISA IDE Control Card DTC2280 5
Parker P1M063C/KMC6N046 Pneumatic Cylinder Series Double Acting New 6
GaSonics DTC2280 M/N 95-0289 Data Technology Corp 16-bit ISA IDE Control Card 2
AceCo 150mm Aluminum Cathode CS33-605 1
TEL Tokyo Electron Sputter Shield D122081 new 3
Vacuum Cntr. Manifold 1
Vacuum Cntr. Manifold 1
Vacuum Cntr. Manifold 1
Seiko Seiki Turbopump Controller STP-H1301L1 working 1
Shimadzu EI-3203MD-A1 TMP Turbopump Power Unit  AMAT 3620-01616 New 1
KLA-Tencor CIP XP Column Extension Assy. 0110157-000 1
RF Services Inc. RF Match RFS 5005 5kW working 1
Novellus Digital Dynamics SIOC Controller 02-258471-00 1
Pentagon Technology 17-165771-02A PVD Shield new Novellus 1
Glentek Amplifier Controller SMA8310-1 KLA 003964-000 working 2
Arrow Electronics Power Supply Switcher VAD610669-A 2
Novellus Shield Assembly 16-117928-00 Rev.D new 1
KLA-Tencor Lid Lift Leg Assy. 781-11759-000 working 2
Tosoh A-S Wafer Shield 06225-000/003412 new 1
LSA Cleanpart Wafer Shield 16-140875-00 new 2
Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-1010 Used 4
Lintec Liquid Flow Meter LM-1100M-8 TEOS 1.5g/min New Surplus 1
ATMI NOWPak SM4BKAFD-050812 Photo Resist Dispenser new surplus 7
AMAT 25 lb Weight Kit 0240-16987 300mm rebuilt 6
AMAT 300mm Retaining Ring Grooved PL PPS 0021-17340 new 1
AMAT Titan SP Head 300mm Fixture Kit 0240-13190 new 2
AMAT Titan Head Membrane Support 0040-41846 new 4
AMAT 300mm Grooved Retaining Ring 0040-84056 new 4
AMAT 300mm Retaining Ring, Grooved 0040-84056 new 10
LSA Anodic Technologies Bracket Assy. 17-122526-00 new 1
LSA Cleanpart ESC Lift Pin Assy. 16-116351-00 new 1
STEC Liquid Mass Flow Meter TiCl4 LF-210A-EVD  NEW 1
KLA-Tencor Upper Housing Assy. 781-21332-000 working 1
KLA-Tencor Housekeeping Control 0075164-002 working 1
TEL Tokyo Electron 3M10-311737-12 300mm Shower Spacer New 2
TEL Tokyo Electron Barrel Shield WZ10-102853-11 new 1
Scinics MC-301 Stirrer Controller MultiStirrer AMAT 1050-01008 New Surplus 8
KLA-Tencor CRS1010 Solenoid PCB Assy. 000678 working 2
Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working 1
Vicor MP4-74523 DC Power Supply MegaPAC AMAT 1140-74523 Tested Working 3
Vicor MegaPAC Power Supply MP2-74510 working 1140-01385 2
Ultrapointe 000675T Lon Motor Driver Board PCB 00045 KLA-Tencor CRS-1010S Used 1
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 1
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 1
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 1
Kollmorgen 1000-0115-00 4-Channel Magnet Driver AMAT 0190-36315 New 3
TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New 1
TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New 5
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004F Working 1
KLA-Tencor CRS1010S DC Power Supply working 1
Inficon 0190-19969 Heated Left Turbo Elbow AMAT Applied Materials New 5
Inficon 0190-19967 Heated Vert Cross Weldment AMAT Applied Materials New 7
Inficon 0190-19968 Heated Right Turbo Elbow AMAT Applied Materials New 8
Cutler-Hammer AF91AG0 B002D Adjustable Frequency Drive G1002BG0DA Used Working 1
Ultrapointe 000674T5 Filter Wheel Driver Board PCB KLA-Tencor CRS-1010S Used 2
Ultrapointe 801-1002-01 A-Stop Control Lon Motor Driver PCB 55050-00 Used Working 2
Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS1010 Used 6
KLA-Tencor CRS1010 Fast Z Controller 001000 PCB working 1
M.E.C. Tech MEC81104-200L Cathode Covers AMAT 0030-0102 Reseller Lot of 101 New 1
TEL Tokyo Electron Teflon Coil Cup WZ10-101255-11 New 1
M.E.C. Tech Insulator Ring 26-83622-00 new AMAT Lot of 78 1
Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working 1
KLA-Tencor 001003 Fast Z Controller PCB Rev. A CRS1010 Used Working 4
GSI Lumonics 003-3002009 Control PCB KLA-Tencor CRS1010 Used Working 4
Daihen DCP-208-24 DC Power Supply working 2
Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed Used 1
GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used 5
Cutler-Hammer Circuit Breaker lot GD 22K working 1
InUSA AFX RB5L Multi-Channel Ozone Controller Used Working 1
VAT 0750X-UE24-ACK1 Rectangular Door Valve L-VAT Series 075 AMAT 3870-00403 New 1
InUSA RB3L External Multi-Channel Control System RB5L Used 1
KLA-Tencor CRS1010S Review Station DC Power Supply 4
HiTek Power Series 2500 High Voltage Power Supply 2.5kV Refurbished 1
SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. F 90S DUV Used 10
STEC SEC-4600M Mass Flow Controller 50 SLM H2 Refurbished 6
Entegris 6500-XX-F02-B30-K-P1-U1-M15 NT Integrated Flow Controller New Surplus 1
QML/MSXLOD 200mm Chuck 879-0362-002D 1
MKS TOOLweb Sensor Integration Platform SIP BlueBox new 1
KLA-Tencor CRS1010 Lens Filter Assembly 002001 working 1
GPI General Precision Remote Panel RCD-1-4 I working 2
KLA-Tencor CRS1010 Camera Filter Assembly 000056 working 7
Power-One Cosel SVG 90 DC Power Supply lot working 1
Yaskawa Linear Motor Controller CLSR-A504-N2SB Nikon 1
JAE 6 Axis Vibration Measurement Unit JNP-003 Nikon NSR 1
Ametek Pressure Transducer 100SV3000SM41B3 3000PSIG New 13
Tescom 64-3242KRL20 Manual Regulator 100PSIG Max Output New 4
Tescom Regulator 23-3B25AAHH-016 100PSIG Max Output New 112
Pentagon Technologies TEL Tokyo Electron Faraday Shield WZ10-101351-21 X6 New 1
Pentagon Technologies Faraday Shield WZ10-101268-11 X1 New TEL Tokyo Electron 1
Pentagon Technologies TEL Tokyo Electron Baffle Deposition WZ10-102201-11 New 2
TEL Tokyo Electron Faraday Shield WZ10-102693-11 X1 1
TEL Tokyo Electron Faraday Shield WZ10-102397-11 X1 1
AMAT Lower Preclean Shield 0040-61580 300mm New 1
KLA-Tencor O/S Actuator Elevator 720-01488-003 Untested 2
KLA-Tencor Newport AIT2 Air Slit Assembly 393215 New 2
GSI Lumonics CCA-10069 X-Y Scanner PCB working pair 1
GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working 7
GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working 1
KLA-Tencor Nikon Box Door Opener 750-059211-001 New 1
KLA-Tencor Aperture Heated Rod 781-23234-002 Used 4
KLA-Tencor Aperture Heated Rod 781-23234-001 Used 2
KLA-Tencor Cannon Box Magazine Assembly 740-060658-001 2
KLA-Tencor 0124592-000 AIT UV Laser Servo Detector with Cable 0051839-000 New 3
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004K Working 1
SVG ASML Robitech Interface Board 859-0832-006B Working 1
KLA-Tencor AIT UV Laser Servo Detector 0099586-001 Used 2
KLA-Tencor 760-333022-000 SLX Reflected Light Assembly Used 1
KLA-Tencor 0041292-000 AIT/UV Laser Optics Assembly 0041281-000 Used Working 1
KLA-Tencor Spring Clamp Laser Servo Detect. 0124592-000 1
KLA-Tencor Mark II Right Top Plate 740-680567-000 New 1
KLA-Tencor 0099602-001 Retrofit Optics Beamsplitter  New 1
KLA-Tencor 10KV Floodgun Assembly 720-23189-000 1
SVG Silicon Valley Group 854-8307-001 PCB Board 859-5485-002 ASML Used Working 1
KLA-Tencor Sharp 15" LCD Monitor 0095643-000 Used 1
KLA-Tencor Glentek Electronics Analyzer 0045454-000 2
SVG ASML 859-0066-004-A PCB Board Used Working 1
KLA-Tencor Nikon Box Door Opener 750-059211-001 Used 1
SVG 90S Twin Shaft and Carriage 201-200 New 1
SVG ASML 859-8030-003 AFA PREAMP / ADC 16 Bit PCB Card Used Working 1
Lambda 703-1047-01 Power Supply Used Working 3
AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used 4
LAM Research 678-801542-001 Heat Tape New 1
HyCal Sensing CT-839-P-(50/80F)-(0/100%) Dual Temp/Humidity Transmitter Lot of 3 1
Tescom High Purity Valve 33-1C52IGS2C-009 Lot of 31 new 1
LAM 6 Station Pneumatic Manifold 766-004254-003 new 1
LAM Immersion Heater 678-801541-002 new 3
LAM Immersion Heater 678-801541-003 new 1
LAM A001-0330-0050 Immersion Heater 678-099744-004 New 1
ASM 858-8163-002A PCB Board working 1
LAM Research Immersion Heater 678-099744-005 new 1
LAM Immersion Heater 678-099744-006 new 4
LAM Transformer 638-099530-001 new 1
Machine Technology MINI I/O II 2217605061 PCB Board working 3
Perkin-Elmer 851-8877-002-F PCB Board A1302 SVG ASML Used Working 1
TLA Technology Servo Interface PCB Rev. A Used Working 1
Robitech 859-0832-006C Robot Interface PCB Board A5161 working 1
Branson IPC PWA Interface Board 12954-04 Rev. C Working 1
Lam Research 810-17031R4 ADIO-A0 Control Board PCB Rev. 1 TCP 9100 Used Working 1
LAM Research LAM 9100 ADIO-A0 Control Board 310-170314 Rev. A Working 1
Therma-Wave 18-010946 Interface Module Used Working 2
KLA-Tencor DC Power Supply 0057847-000 working 1
GPI General Precision SVG Remote Panel RCD 3-4-1 1
Cyber Research SVG Remote Computer STC 12-T working 1
KLA-Tencor LV Detector Assy. 720-11440-000 untested 1
Therma-Wave 14-119381 PWR_DGTZR PCB Opti-Probe Used Working 1
Therma-Wave SVG MUX PCB 14-119395 working 1
KLA-Tencor 0077427-002 End Effector Used Working 1
KLA-Tencor 0077427-002 End Effector Used Working 1
SVG 90S End Station CPU Interface Unit 1
SVG 90S Pneumatic PCB BES-4235 1
SVG 90S Interface Control PCB 99-80396-01 Rev B 2
SVG 90S Interface Control PCB 99-80396-01 Rev B 6
SVG 90S Sensor Multiplexor PCB 99-80270-01 Rev B 4
SVG 90S Nikon Interface PCB 99-80336-06 Rev O 1
SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used 1
SVG Silicon Valley Group 80166F2-01 Station CPU PCB Board Used Working 8
SVG Station CPU Board 80266BE-01 4
SVG Station CPU Board 80266B3-01 3
TEL Tokyo Electron Shield WZ10-102823-11 X4 1
Therma-Wave Opti-Probe Tatung 10" Monitor TCM-1001 1
SVG PCB 06-49879-01B RA2011-11 11
TEL Tokyo Electron Shield WZ10-102837-11 XA 1
Pentagon Technologies WZ10-103558-11 X1 Large Upper Shield Sealed 1
Electroglas Horizon 4085X Visual Inspection Module 1
Electroglas Horizon 4085X Interface PCB Assembly 1
Electroglas Horizon 4085X RMHM4 Controller 1
Thermalogic PCB 718-525 Working 2
SVG 90S Station CPU PCB 80266B2-01 Working 1
SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Rev. E Used Working 2
Millipore Wafergard PF-40 Filter WGFV40P01 New 1
SVG 90S Manifold With Damper 99-54060-01 New 4
SVG 90S Fixture Parts Lot A W/ 24-45451-01 And More 1
SVG 90S 200mm Set Up Fixture/Jig  STGM10 23-42973-01 1
SVG 90S 200mm Set Up Fixture/Jig PRES20 99-38120-02 1
SVG 90S 200mm Set Up Fixture/Jig LJE-N-10 23-43218-01-A 1
Pentagon Technologies D119224 PVD Dark Space Shield TEL MRC New 4
Pentagon Technologies Eclipse star MRC PVD Pie Pan Shield D124821-200A 2
Pentagon Technologies Eclipse Star MRC PVD BSM Ring Tab D129805 New 1
Pentagon Technologies D129805 BSM Wafer Holder Housing MRC Copper Cu Refurbished 5
Pentagon Technologies MRC Eclipse  PVD Filler Ring D116049 F V New 1
SVG 90S 200mm Plastic Calibration Wafer 4
SVG 90S I/O Expansion/LCD Interface PCB 99-80293-01 1
Edco M356600122  CPU Card PCB New 1
Gespac GSPIA-4 PCB 9602 New 4
Lam Research 810-17031-3 ADIO-A0 Control Board Rev. 2 Rainbow 4420 Used Working 3
SVG ASML VB/VP Sensor Board 80241B-1-01 Working Lot (3) 1
Electroglas X Theta Driver Assembly 247228-001 Rev. N Working 1
Lam Research 810-017031R004 ADIO A0 Processor Board PCB 810-17031-2 Used Working 1
Kokusai SCOM2A A/2 D1E01223B PCB Board working 1
Lam Research 810-17031-004 ADIO-A0 Control Board Rev. 1 TCP 9100 Used Working 2
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004E Working 1
SVG ASML Interface Board 859-0866-003B Working 1
SVG ASML Interface Board 859-0866-003C Working 1
SVG ASML Perkin-Elmer Interface Board 859-0866-002A Working 1
SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004 Working 1
SVG ASML Perkin-Elmer Interface Board 851-8618-003 Working 1
SVG ASML A/D Position Regulator Conversion Board 851-8518-005C Working 1
SVG ASML Perkin-Elmer Interface Board 851-8618-004B Working 1
Delta Design Sensor Board 1667-195-501 Rev. J Working 1
Schlumberger Servo Interface PCB Rev. A Working 6
Texas Instruments TM900/203A- Interface Board 115678002 Rev. B Working 1
Schlumberger 3064519-000 PCB Board working 1
Pittman Amplifier Board 276-009-001 C S Rev. E Lot (4) 304-014-122 Working 1
Therma-Wave Frame Breakout Board 14-018238 Rev. C Opti-Probe Working 1
Sony UP-5500 Mavigraph Color Video Printer for KLA used working 1
Tri-Mag TPYA45-4SF DC Three Phase WYE Filter at 47/63Hz working 2
SVG Commutator PCB 859-8368-003 working 2
SVG Power Amp PCB 859-8369-008 working 1
SVG Interface PCB Pair 879-8010-002 working 1
MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 Used 1
Oerlikon P1264 300mm Base Ring 102211796 new 1
Tosoh Upper Shield 805-375-SG-F-280 new 2
Carpenter Ceramics ALPS 894 Clamp Ring IN0440-1003 new 1
Carpenter Advanced Ceramics IN0440-1001 Clamp Ring 894 0440-1001 New 1
AMAT Centura 300mm Deposition Ring 0021-19471 new 2
AMAT Endura 300mm Lower Shield 0021-16287 refurbished 1
Applied Ceramics Eclipse Cylinder 102054681 new 2
Balzers MIU 101 Microwave Ignition Unit working 1
ASML Exciter Lamp 859-0515-006-A Used Working 2
AMAT Endura 300mm Disk Shutter 0021-19207 new 1
Varian E1000 Inner Bushing Shield E17124530 new 1
Tosoh AMAT Centura Upper Shield 805-377-TA-F-104 new 2
AMAT Applied Materials Centura Inner Shield 300mm 0020-52600 new 1
Applied Ceramics Eclipse Insulator Ring 300075811 new 1
Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new 1
Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new 4
Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new 3
Nikon S204 Ceramic Pin Chuck 12in 300mm NSR-S204B Step-and-Repeat System Used 1
AMAT Centura Cover Ring 300mm Edwards 0021-18485 refurbished 2
AMAT Centura Clamp Ring 0020-52627 refurbished 1
AMAT Centura Shield Clamp Edwards 0021-15610 refurbished 2
Edwards Kachina 0020-13067 300mm Lower Shield refurbished AMAT Centura 2
Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm new 7
Jenoptik 4022.456.0076.2 BXP Lens ASML Cymer New 1
Nikon Scanner Prism Unit 4L990-797AN working 1
Jenoptik 4022.456.0075.2 ASML Twinscan AT:1100 Lens 193nm SP1/1443/44 New 1
Optem International HRTS33N3 Metro 300mm Video Coupler New 1
Oerlikon Unaxis Insulating Ring 102078649 new 4
Nikon S306 Depolarizer 4L991-783AN new 1
Torque Systems BMR2005TCG00CEA002 PM Servo Motor Gerwah DKN 20 Used Working 1
ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor Used Tested Working 1
MECS Corp. Wafer Prealigner AL100 untested 1
Nikon S306 BMU Mirror 4L992-195AN new 2
Specken Drumag Oerlikon P1264 Stroke Cylinder 102078752 new 1
Oerlikon 102104213 Unaxis 300mm End Effector Used Working 1
Oerlikon Mask CPL 300mm 102153723 new 12
Oerlikon Etch Shield 102161354 new 2
Edwards Shutter Disk 633-5583-66 AMAT Centura 0021-17725 3
Nikon Metro 300mm MCR-DRV PCB 2S003-056 working 1
AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New 1
AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New 2
MECS Corp. UTX-5000 Robot Controller CS-7000 Used 1
MECS Corp. Prealigner AL100 untested 1
V-Tex 06-014 Rollcam Slit Valve 200803-25-0013-02 New Surplus 4
Fuji Electric EL25-30-V12-UL Earth Leakage Protection Relay EL25P0 New Surplus 4
Genmark 400800032 Classic Transfer Robot working 1
LF-5 RFPP RF Power Products 7520572050 RF Generator Tested Not Working As-Is 1
Georg Fischer Diaphragm Valve 198.150.966 new 1
Nikon Optistation Cass. PCB 2S700-583-1 working 3
Nikon Optistation Cass. PCB 2S700-583-1 working 4
ASML DSX Stepper A1 Prime 8540138001 working 2
Varian Implanter Bearing Assy. X4064200 rebuilt 1
Nikon Scanner RPF 9.5% Concave 4G680-334BN new 1
AMAT 200mm Cover Ring AL 0020-24719 new 9
Tosoh Endura Upper Shield 805-375-SG-F-312 new 1
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 1
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 1
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 3
Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new 2
Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new 6
Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new 4
Tosoh AMAT Endura Shutter Disk 805-438-TA new 1
MRC 885-23-000 Digital I/O PCB Eclipse Star Used Working 1
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 4
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 2
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 1
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 1
Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New 6
TEL Eclipse Star Shield Kit 42755-0500 new 1
TEL Tokyo Electron Eclipse Filler Ring D116049 new 9
AMAT Endura Upper Shield 0021-17722 new 1
Nikon Motor CCNT PCB PPAT6403A new 1
ASML Stepper Library Brake 851-0618-003 working 1
PULNiX TM-710i High Resolution Monochrome CCD Camera Used Working 1
ASML A1306 Stage Decoupler PCB 859-0929-006 working 2
Kensington Laboratories 25-4021-0015-01 Robot Controller AMAT 0190-06994 Used 1
ASML SVG Catchup Coater 200mm 38522-01 new 1
SVG Silicon Valley Group 38465-02 Spin Chuck Dev 90SER 150mm ASML SVG 90 New 3
Metron D131294-02 200mm Ring Seal MRC Eclipse New 3
Nikon Scanner RPF 6.5% 4G680-389AN new 1
ASML Stepper UVHR 3 In. Mirror 8541806001 3
SVG ASML 8519609003 SVG Long Stroke Arm Used Working 1
Aero2 Actuator A2S-50-10-V433R SVF working 1
Tosoh AMAT Endura Upper Shield 805-377-SA-H3 new 1
Therma-Wave Interface Board 14-015810 Opti-Probe Working 2
Therma-Wave 14-018274 INTFC OPT PL TALL PCB Opti-Probe Used Working 1
MKS Instruments 122AA-00100AB Baratron Transducer Tested Working 9
MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used 2
DISCO D3 PMCB EAUA UA-266105 Interface PCB Board working 7
Nikon Optistation MCR Control PCB 2S014-038 4
ASML Stepper MS2 + ECU System Board 859-8272-001 1
ASML Stepper A1211-AFA Module PCB 854-8301-007 1
ASML Stepper A1208 Digital Focus PCB 859-0743-018 1
Nikon Optistation OST-3\3A LED-AF Microscope Head 81027 2
Nikon Metro 300mm INX/300 Dual Board Assy KAB11310/201K 1
ASML 200mm Stepper DSC 7911 PCB 6810116041 1
Omron E5AX-LA02 Digital Controller E5AX Used Working 3
Omron E5AX-LA02 Digital Controller E5AX Used Working 8
ASML A5401A/B Preamp PCB Assembly 859030003 1
SVG 90S Track Station Controller PCB Assy 99-80266-01 14
Nikon P860 Scanner Kalrez AS-568A O-Ring 4G680-586AN 1
SVG 90S Track LES E-Chain 99-45762-15 For SVG 860 1
MSR 99-66564-02 Exhaust Flow Controller SVG 90S Refurbished 2
Nikon Metro MCR-ISA PCB KAB11000/3301 1
Nikon Hama Wafer Mapping Sensor KAB11310/201K New 1
Nikon RFP 2% Concave 4G680-526AN New 1
AMAT Applied Materials 0225-05707"XB" 6" Focus Rings ACP Lot of 25 1
Nikon RFP 1W FORM 4G680-674AN New 1
Nikon Optistation TCCNT PCB 2S014-035-5 Working 7
Nikon Optistation TCCNT PCB 2S014-035-5 Working 7
Oerlikon USA CPL Sensor 10284242 for Unaxis 300mm New 3
ASML 8518518005 A/D TRANS PCB Used Working 4
Nikon Optistation MST Board S2015-064-4 Working 4
Nikon Optistation SCPU PCB S2014-033-4 Working 13
Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working 1
Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working 16
Nikon Optistation MIC-CNT PCB 2S005-230 Working 1
Nikon Optistation MCR DRV PCB 2S003-056 Working 1
Oerlikon Unaxis 300mm CU Cylinder C40 102185985 New 1
IDL Semiconductor Equipment Unload Arm 8790135003 for ASMLP860 1
Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 v1.09 U5 OPTISTATION 3 Used 2
SVG 90S Multiple Sensor Motherboard 99-80271-01 6
SVG 90S DC Distribution PCB 99-80308-01 MCE Mount 3
Nikon Optistation VDrive Lead Screw 9700-4698-01 4
Varian GPI 6U PCB 4428900 Working 2
AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working 9
Hamamatsu 8790084002 PMT Detector ASML SVGL860 1
Nikon Optistation MCR-CNT Board 4S005-341-2 Working 1
Hine Design PCB 778-2I Working 1
FSI International PC Interface Board 233-5002-00 Working 2
FSI International Communications PCB 233-5004-24 Working 1
Nikon Optistation Stage Interface PCB 2S003-038 Working 1
Nikon Optistation Camera Coupling Sort 81254 Working 2
SVG 90S GP Temperature Transmitter 303-075 Working 2
Metron Tech Analog Input PCB 882-70-000 New TAZ Eclipse 1
Nikon Fostec 8 Inch Single Lightline 80952 Working 3
Nikon Fostec Macro Slit Illuminator 80951 Working 3
Applied Materials AMAT H2/N2/HE Gas Line 0050-25218 New 1
Nikon Metro 300mm Motor Door Latch 9700-6101-01 New 2
Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board Used Working 1
Nikon Optistation MCR-ISA PCB 2S014-065 New 2
Nikon Dual Board Assembly PCB 9700-5327-01 Working 1
Gunda/Neugart Motor & Gear Assembly PAC11.1.1SBCA New 1
Thermo Electron Proteus 1 NPT Flow Meter 8952 New 1
Varian Graphite Entrance Liner E17175160 New 1
Oerlikon USA Unaxis Fixload Control PCB 102105235 New 1
SVG 90S Inflatable Bladder Filter 68-20170-908 New 1
Nikon 9700-5808-01 Load Port Latch Key Optistation New 3
CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New 7
SVG 90S Wafer Flip Arm 87-90661-001 New 1
Nikon Optistation Amp Unit 2S259-012 New 3
SVG 90S Short Stroke Arm 85-19607-003 Working 1
Nikon POD Advance Lead Screw Assy 9700-4703-01 New 1
Varian Kestrel Mini-Convectron Gauge 4179100 New 1
Varian Charge Exchange Shield E17293680 New 1
Brooks Genus InCooler Cooling Station 001-4700-12 1
Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New 1
Shimadzu EI-D3603M Turbo Molecular Pump Controller AMAT 0010-32353 Working 8
TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 SW2.6 Used Tested Working 1
A.C.T. Kern 15" Display Monitor LI 1503N-CNDV working 1
Schaffner Power Filter FN356-25-24 working pair 1
Nikon NSR LM I/F PCB Board 4S018-214-1 working 1
Verteq 8045R4-2 Teach Pendant Used Working 1
Hitachi 3-833468-01 Upper Cover Set of 2 New Surplus 2
Hitachi Lower ER Covers 3-833469-01 new 4
AMAT CMP Polisher Kit 0240-06458 new 2
STEC SEC-7330M Mass Flow Controller 200 CCM SF6 Refurbished 1
AMAT 300mm Internal Membrane Clamp 0021-17972 1
MKS Instruments 122AA-00010-B-SP023-87 Baratron Pressure Transducer Used Tested 1
MKS Instruments 122BA-00100EB-S Baratron Pressure Transducer Used Tested 8
TEL Tokyo Electron 28214531T1140 200mm Electrode Cover New 1
MKS Instruments 122A-11063 Baratron Pressure Transducer Used Tested Working 5
AMAT Slurry/HPR Arm 0040-77267 working 3
MKS Instruments 127AA-00002E Baratron  Pressure Transducer Used Working 1
MKS Instruments 122B-11993 Baratron Pressure Transducer Used Tested Working 24
Nikon NSR-202 Stepper Power Controller RR-002V1.3 1
Carten Valve 300003-02 Lot G375PC2R LV P625 Body new 1
Carten Brass Valve 300004-02 Lot G625PC2R new 1
Carten Brass Valve 300028-02 Lot G500PC2R new 1
TDK ZAGT2280-M Noise Filter  250VAC lot of 3 working 1
Nikon NSR S202 RL-LIBHT1 PCB 4S018-473-2 working 1
Nikon NSR S202 Vacuum PCB WL3PNL2 4S018-058 working 1
Parker 1396.020 Stepping Motor Drive Digiplan AM5 Used Working 6
Nikon NSR S202 Light Source Module working 1
Nikon NSR S202 Wafer Mask Reticle Indexer working 1
TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working 1
AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel 1
AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel 2
AMAT Applied Materials 0100-09175 Interlock Board TEOS P5000 Precision 5000 1
Omega 6 Channel High Temp Alarm CN101 working 1
DIP Inc. CDN396 Bi-Directional Digital I/O PCB Card 15039603 New Surplus 6
MKS Instruments CDN396R PCB Card AS01396-6-3 New 19
MKS CDN391R PCB AS01391-22 0190-26786 Used Working 40
Shimadzu EI-D3603M Cable Set 262-78189-51V1 262-76411-51V2 AMAT 0620-02820 New 1
XP Power 10006055 DC Power Supply F7B6J6J6G2 New Surplus 13
Vicor MegaPAC DC Power Supply MP5-76563 New 1140-00384 6
Delta Design Model 1210 1666339 Rev R Handler Assy PCB working 4
Delta Design Model 1210 Handler Assy PCB 1666339 Rev T 3
Machine Tech. Motor Control III PCB 2217605501 Working 3
Machine Technology 2217605132 Processor II PCB Used Working 1
Machine Technology Processor II PCB 2217605133 Working 1
Mattson Technology Fiber Optic Assy. 263-14789-00 1
SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used 8
SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used 2
Santa Clara SCP 9200 System Status Module 3270091G 2
Santa Clara SCP 9200 System Status Module 3270091G 1
SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working 8
SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working 3
Cincinnati Electrosystems DynaComp 13" Monitor MB14 working 2
MDX-052 AE Advanced Energy 3152052-000 Magnetron Remote Interface Used Tested 1
AMAT Controller Backplane Board 0100-20454 working 8
PRI Transfer Robot ABM-507B-2-S-CE-S293 working 1
Yaskawa VS mini Drive Controller CIMR-XCAA21P5 working 1
Eurotherm 425A SRC Controller 40A/240V/220V240 working 11
AE Advanced Energy 3152189-000H LM-1.25K RF Match Used Working 5
Siemens Input Module 6ES5 318-8MB12 working 31
Tylan 2950 Series MFC Lot FC-2950MEP5-4V untested 1
Cymer Signal Conditioner Board 05-05031-15V Working 1
Delta Design 1686300-501 Power Distribution Board PCB Rev C 1
Delta Design Power Distribution Board 1686300-501 Rev D 1
Delta Design 68008 Bd PCB 1657925-501 Working 2
SVG Station CPU Board 80166FE-01 Working 4
SVG Station CPU Board 80166F1-01 Working 3
TLA Technology, Inc. PCB 519-000 Working 1
VMIC VMIVME Model 5530S PCB 333-000132-C  Working 2
Delta Design Push Bar/LCD Control PCB 1669755-501 1
Delta Design Quad Pressure Sensor Board 1669755-501 1
Electroglas X Theta Driver Assembly 247228-001 Rev. R Working 2
Force Computers 300000 CPU PCB Card SYS68K/SASI-1 Lam 17035-1-B-6562347 Used 1
Siemens Simatic Analog Input 6ES5 464-8ME11 working 16
Siemens Simatic Relay Output 6ES5 452-8MR11 working 8
Siemens Simatic Analog Input 6ES5 464-8MC11 working 36
Siemens Simatic Digital Output 6ES5 453-8MA11 working 37
Siemens Simatic Digital Output 6ES5 453-8MA11 working 19
Siemens Simatic Digital Output 6ES5 453-8MA11 working 12
Siemens I/O Digital Module 6ES5 482-8MA13 working 36
Siemens I/O Digital Module 6ES5 482-8MA13 working 27
SMC Pneumatic Cylinder NCDQTB125-UIA970691 1
MKS Equipment Leakage Current Interrupter 43PWRCORD04 1
Tokyo Electronics Indust. Flat Panel Monitor TE6036A7 (used) 1
LAM Research Etcher Wafer Shuttle Assembly 853-012500-001 1
LAM 4420 Etcher Edge Sensor Assembly 853-012550-002 1
Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. D Rainbow 4420 Used 7
LAM 4420 Etcher RF Switch Box 853-017163-001-G-1997 1
Lam Research 810-17082-001 16 Channel Heat/Cool PCB 710-17082-1 4420 Used 3
LAM 4420 Etcher Solenoid Tray Assembly 853-013610-001 1
LAM Research 715-130080-008 200mm End Effector Rev. F Used Working 1
Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working 2
Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working 1
Ebara ET600W Turbo-Molecular Pump Controller 600W ETC04 PWM-20M Used Working 3
Ebara Turbo-Molecular Pump Controller 305W working 5
Olympus MDPlan 150 0.95 IC 150 Objective KLA-Tencor CRS-3000 Used 2
VAT Atmospheric Door L-VAT 0751-UA24-0002 new 1
Semicon Precision Industries A-1402 Lower Shield Assembly new 2
AMAT Network Controller Card 0190-76053 working 1
Schlumberger TLA DC Motor Driver Assembly 504-000 Working 2
Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used 1
LAM 4420 Etcher Operator Panel 852-017500-003-4 working 1
LAM Research 852-011061-503-E1-231 Lower Chamber 200mm 4420 Etcher 1
Edwards Vacuum Flash Module D37215020 working 1
Lam Research Focus Ring 055298 ACE BS33-390 new 1
Varian Servo Amplifier PBC Board E15000400 Rev. A working 1
MDC Vacuum Products 306005 Manual Gate Valve LGV-4000G NW100 Used Working 1
SVG 90S 99-80267-01 Rev. B PCB Shuttle Interface Board Used Working 1
TEL Tokyo Electron P-8 200mm Wafer Tray Loader Assembly CDQ2A32-75D-F79-XB9 used 1
SVG 90S PCB Shuttle Interface Board 99-80267-01 Rev. C Working 1
Applied Materials Pneumatic Valve Assembly 9010-00069 Working 1
Brooks Automation Gas Module 001-4808-12 Working Genus 7000 1
IEE Display PDK-004A-0WG Used Working 2
Logical Design Group Interface Board VME-2232-24 Working 9000-30-011 1
Brooks Automation Control Module 001-8185-06 Working Genus 7000 1
Verteq 1600-55A SRD Spin Rinse Dryer Controller Panel Used Working 1
Verteq SRD Control Module SC1600-5 Working 120V 1
TEL Tokyo Electron A116007 200mm Back Plane Heater MRC Materials Research New 7
MRC Eclipse Star 100mm Back Plane Heater A112654 New 4
MRC A121893 Eclipse Star 100mm Back Plane Heater New Surplus 4
MRC Eclipse Star SS Relay Assembly A129350 new 2
Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus 2
MDC Lift Assembly ABLM-133-1 (KLH) Used Working 1
CoorsTek ADO Regulator Retrofit Kit 0190-07593 new P16-02-01Q J02 5
ABB SACE Isomax S3 Industrial Circuit Breaker S3B new 3104260 1
Tescom Pressure Regulator 44-2361-T9-051 new 43
Tokyo Electron D116700 Rev. B Etch Shield New 2
Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working 1
Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working 2
UNIT 8560 MFC Mass Flow Controller UFC-8565 300cc SiH4 new 3030-10585 2
TEL Tokyo Electron WVG-S2-Y-IBY Water Vapor Generator Cable Set working 1
ATMI Scrubber Dispenser SP4AAAAD-140304-N New 1
Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-10542 600cc He New 2
NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L New 2
Tokyo Electron P-8 QMC3 Board 3281-001184-17 Used Working 1
Tokyo Electron P-8 GPIB Board TVB3401-1/GPIB 3281-000019-15 Used Working 1
Unit UFC-1000 MFC SF6 50CC Mass Flow Controller Refurbished 1
TEL P-8 SIO Board 3281-000014-16 Used Working 1
MKS Instruments 128AA-00010B Baratron Pressure Transducer Type 128 Used Working 1
AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used 1
Sti OF4172B-2 OptoFence 72" Light Curtain Reciever Transmitter Set Used Working 2
Tokyo Electron P-8 PST-STD PCB 3281-000142-12 Used Working 1
Edwards D37272800 Pump Display Terminal Controller Used Tested Working 1
Edwards D37272800 Pump Display Terminal Controller Used Tested Working 4
Edwards Gate Valve NGW414000 Used Working 3
GSNX Elevator Alignment Tool 73-0068 Used Working 1
Thermalogic 06-49879-01 PCB Control Board RA2011-11 SVG 90S DUV Used Working 8
SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. D Used 2
SVG 90S Fluid Temperature Station CPU Board 99-80266-01 Rev. J Used Working 1
Microvision Prealigner MVT3080 Used Working 2
Sharp Microvision LCD Panel LM64C35P 7080 Used Working 3
MicroVision MVT4080 Wafer Aligner Used Working 3
Microvision MVT7080 Computer MVTPC70 Used Working 2
Eurotherm Temperature Controller U30003813 Lot of 2 Used Working 1
Edwards A52844413 im Pump Interface Module Used Working 2
Edwards A52844413 im Pump Interface Module Used Working 4
Tokyo Electron P-8 PST-OPT PCB 3281-000129-11 Used Working 1
TEL Tokyo Electron 3281-000080-11 I/O PCB TVB9003-1/316 Used Working 1
Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working 3
KLA-Tencor TEL P-8 DP Video Board 710-806051-01 Rev. AO 3281-000051-11 Used 1
Compumotor Opti-Probe 2600B Indexer Motor Adapter PC-23 Used Working 1
Therma-Wave Opti-Probe 2600B Lens Block Assembly 18-011089 Rev. B Used Working 1
Therma-Wave Opti-Probe 2600B Lens Assembly 18-009253 Rev. B Used Working 1
Therma-Wave Opti-Probe 2600B 18-010493 Lens Block Lot of 5 Used Working 1
Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working 6
Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working 2
Kokusai VDF Vertron Exhaust Monitor D12549 Used Working 8
Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working 1
Kokusai Vertron Accuron Digital Controller CW1501A(01) Used Working 1
Kokusai VDF Vertron Controller CX1307 Used Working 7
Kokusai VDF Vertron Controller CX1307 Used Working 1
Square D Vertron Circuit Breaker KAL262001127 Lot of 4 Used Working 1
Pureron Kokusai Vertron Flow Meter DFM2000 Used Working 2
Kokusai Vertron Exhaust Controller CX1204 Used Working 4
Kokusai Vertron Driver Board PLMDRV4/A0 D1E01296 Used Working 4
Kokusai Vertron Control Board DIOA DIE01281 Used Working 1
Kokusai Vertron D1E01300B Control Board SIOB/A2 Used Working 1
Kokusai Furnace CPU Board DIE01294A KBCPU9/A1 Used Working 1
Kokusai Vertron Backplane PCB CX00BBA/O DIE01324 Used Working 1
Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working 2
Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working 2
Kokusai Vertron Power Module CX1103M Used Working 8
Kokusai Vertron SCOM1A A/2 Com PCB D1E01221B working 1
Kokusai Vertron Control Board DIE01277B MC16/A2 MSUB16/A1 Used Working 1
Kokusai Vertron Power PCB PFS/A1 D2E01080A Used Working 1
TDK TRM021GB DC Power Supply working Kokusai Vertron 1
KLA Instruments 710-806050-01 Video Interface PCB TEL Tokyo Electron P-8 Used 1
Acumen 10019 Vision Card Rev. E PCB TEL Tokyo Electron P-8 Used Working 1
Motorola TEL P-8 Control Board MVME 147-023 3286-000516-12 Used Working 1
Electroglas Wafer Loader Interface PCB 248228-002 Rev. N Used Working 1
Electroglas 254921-001 Prealign Module Interface Board PCB Rev. B Used Working 3
Elctroglas Lens Illuminator Assembly 255337-001 Rev. A Used Working 1
Electroglas 200mm Prealigner Assembly 4085X Used Working 1
Electroglas 4085X Camera Assembly 250957-001B 249006-001F 249014-001B Used 2
Electroglas 4085X 247012-001 Rev. E Drive Shaft Assembly Used Working 2
Electroglas Remote Microscope Control 4085X Used Working 1
Electroglas 248057-001 A End Effector Assembly Used Working 1
Exynetics-Electroglas Display Control Module DCM3 Used Working 1
TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used 1
TEL Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used 1
TEL Tokyo Electron 3208-000091-11 PCB AIR/HF Interconnect 3281-000091-11 Used 1
TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used 2
TEL Sony P-8 Camera Module M8C37404-1 XC-75 Used Working 1
Tokyo Electron P-8 Control PCB 3281-000138-12 Used Working 1
Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working 1
KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used 1
KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used 1
KLA-Tencor 0033116-001 Mirror and Lens Assembly 0033233-001 AIT Fusion Used 1
Telemecanique ATV18U29M2 1.5kW 2HP Inverter Square D ALTIVAR 18 Used Working 1
Motorola 01-W3866B54B SBC Single Board Computer Card MVME 162-262 Used Working 1
Asyst Technologies 06763-005 48V Control Board PCB ABX-66242-09-00 Used Working 1
GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 As-Is 1
GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. H A89-013-01 Used 1
GaSonics A95-108-02-RE-E LED and Interface Control Panel PCB A89-013-01 As-Is 1
GaSonics A95-107-01 LED and Interface Control Panel Rev. J Used Working 3
Edwards NGW415000 Pneumatic Gate Valve Copper Exposed Damaged Connector As-Is 2
Contec DAI12-8C(PC) Isolated 8 Channel Digital Analog PCB Card 9867C Used 3
Contec ADI12-8CL(PC) Isolated 8 Channel Analog to Digital PCB Card 9858B Used 6
Contec BUS-PAC(PC)E ISA Bus Expansion Board PCB Card 7024F Used Working 3
Contec COM-2(PC)F Communication Board PCB Card 7065 Used Working 3
Acrosser Technologies AR-MB11 Backplane Board PCB VER:2.1 Used Working 3
Omron C200H-PRO27-E Hand Held PLC Programming Console PRO27 Used Working 1
Hitachi CR-712T-AC Wafer Transfer Clean Robot Sanki Technos Used Working 1
Hitachi CR-712T Series Wafer Transfer Clean Robot Sanki Missing Sensors As-Is 1
Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used 2
Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used 3
Hitachi CR-712VC Wafer Transfer Clean Robot Sanki Technos Used Working 2
TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is 1
TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is 2
TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12-300 300mm Used Working 1
TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used 1
TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used 1
Sanyo Denki 103F7851-70XE44 Stepping Motor StepSyn Reseller Lot of 5 Used 3
DNS Dainippon Screen PC-02032C-0123 SIF Interface VMEbus Card PCB SIF-A Used 6
Advanet Advme1522A Fiber Optic Interface VMEbus Card PCB Advme 1522A Used 1
SRC R14870 VME Systembus 20-Slot Backplane Board PCB Used Working 1
SRC SVB-03E VME Systembus 32 Bit IO Bus Expansion Back Board PCB Used Working 1
Ramix PMC422/423 Timer and Interface Board Omron H3FA-SA Used Working 1
A-B Allen Bradley 96844675 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used 1
A-B Allen Bradley 96844671 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used 1
A-B Allen Bradley 96133673 High True Input Module PLC PCB Card 1771-IBN/B Used 2
A-B Allen Bradley 1771-IBN/B 10-30 VDC High True Input Module PLC PCB Card Used 1
A-B Allen Bradley 1771-IBN 10 To 30 VDC High True Input Module PLC PCB Card Used 3
A-B Allen Bradley 1771-OBN 10 To 30 VDC High True Input Module PLC PCB Card Used 6
A-B Allen Bradley 1771-OFE2 B Analog Output 12 Bit Module PLC PCB Card Used 6
A-B Allen Bradley 1771-IFE A Analog Intput 12 Bit Module PLC PCB Card Used 6
A-B Allen Bradley 960203 CFI Backplane Board PCB 96066522 Used Working 2
Nikon HFE S58 Power Supply Module MCC-847-03 NSR-S307E Used Working 1
Panasonic MSMA022S2F AC Servo Motor TEL Tokyo Electron Lithius Used Working 1
Omron 3G8B2-NI000 Interface PCB Card TEL Tokyo Electron 3286-002065-11 P-8 Used 2
TEL Tokyo Electron 3281-000086-14 PCB Loader Cont Mother 3208-000086-12 P-8 Used 2
TEL Tokyo Electron 3281-000095-13 PCB PST OPT Card 3208-000095-11 Used Working 2
KLA Instruments 6001755-03 DP Video PCB Card TEL 3281-000051-11 P-8 Used Working 2
KLA Instruments 710-806050-01 IP Video PCB Card TEL 3281-000050-11 P-8 Used 2
Acumen 200-1019 Camera VMEVC PCB Card 10019 TEL 3281-000124-11 P-8 Used Working 2
TEL Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used 2
TEL Tokyo Electron 3281-000094-11 STAGE IO MOTHER Backplane PCB Used Working 1
Schroff 23000-207 VME 7-Slot I-O Bus Backplane PCB TEL Tokyo Electron P-8 Used 1
Schroff 23000-020 VME Systembus 20-Slot Backplane PCB TEL P-8 Used Working 1
CCS PD-3024-2 (CE) 2-Channel LED Light Controller Used Working 1
AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left Assembly 1
Asahi 130NK 3-2A AVIS2 ERG AMP Nikon 4S013-374 4S587-011-1 Used Working 1
Premium NP-0588 AC/DC Power Supply Card ASML 4022.471.84293 Used Working 1
Coherent 0169-628-04A Laser Emission Control Module 170C Innova Used Working 1
Varian L6281701 Pneumatic Angle Valve NW-16-A/0 L6281-701 Lot of 3 Used Working 1
CKD USG3-X0693 Vacuum Valve Hitachi S-9300 CD SEM Used Working 1
OEM-650A ENI OEM-6A-11491-51 Solid State Power Generator Not Working As-Is 1
National Instruments 196848A-01 PXI Controller PXI-1031 OEM 1923725001 Used 1
Novellus Systems 15-142376-00 Wafer Align Viewport L/LK VCTR New Surplus 10
AMAT Applied Materials 0041-26196 Gasbox PVD Chamber 300mm Base new 1
Nova Measuring Instruments 210-40572-01 Main Center Board PCB Used Working 1
Protech 960560-G4B SBC Single Board Computer PCB Card P5/6x86 SBC Used Working 1
Imagenation CX100-10 Frame Grabber ISA Card PCB NovaScan 840 Used Working 1
Nova Measuring Instruments 210-40530-00 DAB Card PCB NovaScan 840 Used Working 1
A.C.S Electronics SB214PC-E Controller Board PCB Card P.S.-6 NovaScan 840 Used 1
Nova Measuring Instruments 210-47024-01 Interconnection PCB NovaScan 840 Used 1
Advantech 190261060 PC-BUS Backplane Board PCB Advantech NovaScan 840 Used 1
Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Used Working 2
TMC Micro-g Gimbal Piston Isolators Set of 3 14"x8.25" Used Working 1
AMAT Applied Materials 0100-00360 H.V/A.MAG Motherboard Backplane PCB Used 1
AMAT Applied Materials 0100-00361 H.V/A.MAG Motherboard Backplane PCB Used 1
AMAT Applied Materials 0100-90588 H1 B/L Vacuum Control Motherboard PCB Used 1
AMAT Applied Materials 0100-00305 Target System Vacuum Motherboard PCB Used 1
AMAT Applied Materials 0100-94012 Plasma F-Gun Control Motherboard PCB Used 2
Tosoh Quartz 70290-01 Manipulator Assembly New Surplus 1
AMAT Applied Materials 0040-41846 Membrane Support Fixture 300mm Titan Head New 1
Futaba DB9409-001 Junction Box 2S067-013 HPS-FB6 Nikon 4S061-844 Used Working 1
AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new 2
AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new 4
IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Used Tested Working 1
IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT Used Tested Working 1
iGX600L Edwards A54630958 Dry Vacuum Pump IGX 600 L Factory Refurbished 1
Komatsu 20001940 Controller MCU-04TM TEL Lithius Interface Block IRAM Used 1
Komatsu 20001940 Controller MCU-04TM TEL Lithius Interface Block IRAM Used 2
SMC INR-244-272A Power Supply 2TP-0C203 TEL Tokyo Electron Lithius Used 6
Yaskawa XU-DV0704Z Linear Motor Controller 4S586-561-4 Nikon NSR-S204B Used 1
Yaskawa SGMAS-12ABA-TE12 AC Servo Motor TEL 5085-407038-11 PRA Lithius Used 3
Yaskawa SGMAS-12ABA-TE12 AC Servo Motor TEL 5085-407038-11 PRA Lithius Used 3
Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 8 Used 1
Aerotech 1035-01-1000-01 Magnet Servo Motor 1035DC/MO/E1000MB Working 1
Yaskawa SGMAS-A5ABA-TE12 AC Servo Motor TEL Tokyo Electron Lithius Used Working 6
TEL Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working 1
TEL Tokyo Electron OYDK-052 ANALOG BOARD 0-1 #02 PCB Lithius Used Working 4
TEL Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius Used 2
TEL Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius Used Working 3
Omron G9SC-140-TE3 RY MTR #02 PCB Board TEL Lithius Used Working 2
Omron G9SC-140-TE3 RY MTR #02 PCB Board TEL Lithius Used Working 11
Omron G9SC-140-TE2 RY SPIN MTR #02 PCB Board TEL Lithius Used Working 6
TEL Tokyo Electron HA-015 RY CHEM #02 Board PCB Lithius Used Working 3
TEL Tokyo Electron HA-028 JCT DC #02 Board PCB Lithius Used Working 2
Sanyo Denki PY2B050C62S8P01 Servo Amplifier BL Super PY TEL Lithius Used Working 2
Sanyo Denki PM-UDP1S06-20 PM Driver TEL Tokyo Electron Lithius Used Working 2
Yaskawa Electric SGDM-10ADAY751 Servo Driver SERVOPACK TEL Lithius Used Working 2
Harmonic Drive Systems 9800033901 Servo Drive HA-655–2-200 TEL Lithius Used 2
Sinfonia Technology SCE92100137 Wafer Robot TEL Tokyo Electron T-3044SS Used 1
FEI Company 18572-H AVA Controller Module 18098 Used Working 1
Mitra 9415 031 68301 Power Supply PE3168/30 FEI Company 4022 192 57342 Used 1
ASML 4022.437.1121 Laser Phase Modulator Box Used Working 2
Kokusai Electric CQ1400A Digital Direct Controller Accuron CQ-1400A Used Working 1
Kokusai Electric CQ1500A Digital Direct Controller Accuron CQ-1500A Used Working 1
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 1
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 1
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 2
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 4
Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used 2
Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used 2
Tokkyokiki 2-200 Pneumatic Active Damper Set of 4 Used Working 1
Asyst Technologies ABX-78355-26-00 48V Control Board PCB 06764-005 Used Working 2
Ansul 442R Agent Release Alarm Panel Used Working 3
Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Used Working 3
SMC INR-244-230V Thermo-Con Power Supply PP 12inch Used Working 1
SMC KP1210116B Thermo-Con Power Supply PCB PWB0015B Used Working 1
SMC KP1210115B Thermo-Con Power Supply PCB PWB0014B-1 SPS-001 Used Working 1
SMC 2TP-4A231 Thermo-Con Power Supply Interface PCB Used Working 1
J&M Instruments VLP30100SSX N2 Pressure Controller ASML 4022.436.8286 Used 3
Extraction 4022.486.75471 Charcoal Molecular Base Filter System ESI004802 Used 1
Fuji Electric PPMCFBR2-M AC Power Monitor Type PPM Used Working 1
TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Untested Damaged As-Is 1
TEL Tokyo Electron 381-640804-9 LED Display Board PCB Mark-8 Clean Track Used 1
TEL Tokyo Electron 381-643319-5 Arm Interface Board PCB Mark-8 Clean Track Used 1
TEL Tokyo Electron AB12C-6029 Mark-8 Star/Stop Panel F3297380(4)A PCB Used 3
TEL Tokyo Electron 1381-645732-16 OPEPANE CONN Board #2 PCB Mark-8 Used Working 1
TEL Tokyo Electron 1381-645731-13 I/F SOL CONN Board #2 PCB Mark-8 Used Working 1
TEL Tokyo Electron 381-642446-1 I/F FPA Connection Board PCB Mark-8 Used 1
Sansha Electric HKD-1510BT Metal Surface Treatment Power Supply SanRex Used 1
Nikon RTB01-100 LED Indicator Display Board PCB with Harness Used Working 1
Lam Research 810-17025-3 RF Generator Cart Breakout Board PCB 710-17025-3 Used 2
SoftSwitching DS10025A208V1SH1000C Dynamic Sag Corrector MINIDySC Used Working 6
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 1
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 4
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 25
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 4
ASML 4022.471.4716 Interface Board PCB Module Used Working 1
AMAT Applied Materials 0010-26776 300mm Target Gravity Safe Lock Right new 1
ASML 4022.472.2229 Interface Module 4022.471.8019 Used Working 1
ASML 4022.486.1542 Mechanical Lift Assembly 921AA0.4RIL-Z925 Used Working 4
ASML 4022.480.3498 Safety Control Interface Module 462121H5U Used Working 1
ASML 4022.470.9005 RSSB2 Interface Panel PCB 4022.471.7025 Used Working 1
PULS AP 153.131 F Power Supply PCB Card ASML Used Working 1
ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card Used Working 1
ASML 4022.437.1053 2-Channel Processor Board PCB Card Used Working 2
ASML 4022.471.7503 HSSL Process Control Board PCB Card Used Working 2
ASML 4022.471.7683 Interface Board PCB Card 4022 471 76821 Used Working 1
Fuji Electric PPMEFBY2-M AC Power Monitor Type PPME Used Working 3
ASML 4022.471.7711 System Backplane Board PCB Used Working 1
Hitachi A008-2 Processor Control Board PCB Card Used Working 4
Hitachi PM02-1 Processor Control Board PCB Card Used Working 4
Hitachi MM96-2 Processor Control Board PCB Card Used Working 2
Hitachi RYB308N-1 Processor Control Board PCB Card Used Working 2
Hitachi RYBXC-1 Processor Control Board PCB Card Used Working 2
Hitachi A008-2 Processor Control Board PCB Card M-511E Used Working 2
Hitachi MBN13-2 Backplane Board PCB M-511E Used Working 4
Hitachi MBN27-2 Backplane Board PCB M-511E Used Working 2
ECI Technology LU0610 Hamilton Modular Valve Positioner QLC-5001 Used Working 1
Measurement Computing CIO-DUAL-AC5 Data Acquisition DAQ PCB Card QLC-5001 Used 1
ECI Technology TLA7334-02 Interface Board PCB Card QLC-5001 Used Working 1
ECI Technology TLA-511 Interface Processor Board PCB Card QLC-5001 Used Working 1
Shinko SCE93-100008-C1 LPCN-2A-1 Interface Board PCB SBX08-000035-11 Used 4
Kniel System-Electronic CP 24.2,2 24V Power Supply Card ASML 4022.430.14761 Used 1
Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working 1
Meiden JZ29A-01 Processor Board PCB Card MU24A30756 SU22A31138 B Used Working 1
Contec PIO-32/32L(PCI) Isolated Digital I/O Board PCB 7097A Used Working 1
Meiden ZN66Z-10 Backplane PCB Board SU18A30082 MU24A31083 SU22A31797 B Used 1
CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001 Used Working 1
CTI-Cryogenics 8116250G002 On-Board P300 Cryopump with 0190-27354 Used Working 1
DNS Dainippon Screen TANK#1 Trimix Temperature Monitor Process Bath FC-3000 Used 1
DNS Screen TANK#5 Sulfuric 120C Temperature Monitor Cooling Tank FC-3000 Used 2
Bede Scientific BEDE\4PH\2CH\V1.1 Processor Board PCB Card Used Working 5
Arcom Control Systems SC88T Processor Board PCB Card M.E.M 24-09-96 Used Working 1
DSP Design SP18006240.006 Processor Board PCB Card SP180 Used Working 1
Kelek Systems KSL-CT8 Processor Board PCB Card SPCT8C 14 640 801 Used Working 1
Arcom Control Systems SBPL5 Backplane Board PCB Used Working 1
Meiden RZ42Z USB Digital I/O PCB Card MU24A31092 SU22A31819 Used Working 1
DNS Dainippon Screen DSLE-0041 24V Processor Board PCB 539-52358 Used Working 1
Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used 6
Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used 2
Arcom Control Systems TLA 551 Thermistor Elecrode Sensor Board PCB RCI-F Used 1
Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100 Used Working 1
ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. B QLC-5100 Used Working 5
ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. F QLC-5100 Used Working 1
Hamilton 0162573 PSD/2 Syringe Pump HVX Drive LU0960 ECI QLC-5100 Used Working 1
Kokusai Electric D2E01448 Interface Board INT-MB PCB Card Used Working 2
Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working 1
Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working 1
Countant Lambda E60744 Power Supply Omega MML600 45JY8 12CY8 Used Working 1
Lambda CSF250NM 5/12 5/12E Power Supply Sirius H70023 Used Working 1
Kniel System-Electronic CMP 0810 Power Supply Card ASML 4022.436.48921 Used 1
SMC INR-244-271A Controller Assembly 4TP-1A860 TEL Tokyo Electron Lithius Used 1
PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-003 Kollmorgen Used 3
PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-005 Kollmorgen Used 3
NSK EMLZ10CF1-05 Servo Drive Motion Controller Used Working 14
ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
TEL Tokyo Electron FPD BOX Panel PCB 3D81-000058-V2 TYB61S-1/RSC Used Working 2
TEL Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working 2
TEL Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working 1
TEL Tokyo Electron 3D81-000096-V1 Processor PCB Card TYB513-1/IOGS Used Working 3
TEL Tokyo Electron 3D81-000097-V1 Processor PCB Card TYB514-1/IO48 Used Working 3
TEL Tokyo Electron 3D81-000039-V2 Interface Board PCB TYB62F-1/PUMP Used Working 2
TEL Tokyo Electron 3D81-000103-V1 Interface Board PCB TYB62E-1/RF Used Working 2
TEL Tokyo Electron 3D81-000010-V2 Backplane PCB TYB614-1/RFPD Used Working 2
Hitachi BBM308N-2 Backplane Interface Board PCB M-511E Used Working 1
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working 1
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working 1
Hitachi CHB308N-2 Backplane Cable Interface Board PCB M-511E Used Working 2
Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3 Used Working 4
Toshiba MCC-1310-01 Transformer Relay Board PCB Used Working 4
Toshiba MCC-1369-02 Power Distribution Board PCB Used Working 4
Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S307E System Untested As-Is 2
Nikon 4S018-173 Air Diver 2 Pneumatic Driver Assembly AIRDRV2 Used Working 1
Nikon KAB11000/1360-0 200mm Wafer Chuck KAB11000/A301-7 OPTISTATION 7 Used 2
Power-One RPM5H4H4KCS673 Power Supply Dual Module 2500W Used Working 1
Power-One RPM5A4A4C1CS676 Triple Output Power Supply 2500W Used Working 1
Power-One RPM5CSCSKCS674 Dual Output Power Supply 2500W Used Working 1
ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
Power-One RPM5GEDEC1CS669 Triple Output Power Supply 2500W Used Working 1
AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used 2
AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used 1
AGM Electronics Direct Current Transmitter TA4000-13 Lot of 7 Used Working 1
SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used 1
SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used 1
AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working 1
AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working 1
AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working 4
Philips Programmable High Resolution Counter Timer c Used Working 1
Nikon Optistation 7 APW Exhaust Blower 1NB412S77 working 7
Digital Dynamics SIOC Anneal Controller 02-134495 Rev. A Used Working 1
Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working 1
Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working 2
MMPIC Scanner Drive MMPIC-20A-3 Lot of 2 Used Working 1
Lambda LFS-50-5 Regulated Power Supply Used Working 1
Lambda LFS-50-5 Regulated Power Supply Used Working 1
Power-One RPM5C4C4D4LCS677 Triple Output Power Supply 2500W Used Working 1
Komatsu Electronics ABBBA0011000 Heat Exchanger Power Supply GR-712 Used Working 1
Hamamatsu H8008 Photomultiplier Tube Used Working 1
Edwards NGW415000 Pneumatic Gate Valve Used Working 1
Edwards NGW415000 Pneumatic Gate Valve Used Working 1
KLA-Tencor 655-774157-000 Imaging Inspection Lens Assembly 2365-UI Used Working 1
Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System Used 1
KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working 1
KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working 1
KB Electronics KBPI-240D (3736) DC Motor Indexing Control PENTA-DRIVE Used 1
Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working 2
Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working 6
MKS Instruments 750B11TCD2GG Baratron Pressure Transducer Used Tested Working 2
Panel-Tec Operator Terminal ST2000 REL Rev. 3.60R Used Working 1
TEL Tokyo Electron 2981-600316-11 Temperature Control Connection Board PCB Used 1
CTI-Cryogenics 8186518G003R On-Board IS Controller Rack Mount Panel Used Working 1
Helix Technology 8186263G001 Power Supply Board PCB HLX CTI-Cryogenics Used 1
Helix Technology 8186052G001 CPU Processor Board PCB CTI-Cryogenics Used Working 1
Yaskawa XU-RCM2500T-4 Robot Lot of 2 Nikon KAB11320/201A-4 OPTISTATION 7 As-Is 1
Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 31231-1 Used Working 5
Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 35942-1 Used Working 2
Watlow TLME010DDDDDDDD Temperature Monitor TLM-8 Anafaze Lot of 3 Used Working 1
Teknic SST-1500-XCX Servo Drive SST ServoStepper Used Working 1
Teknic SST-1500-111 Servo Drive SST ServoStepper Delta Design 2100865 Used 1
Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used 3
Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used 4
Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used 5
Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100953 Used 10
Pall PHD11ANMEH11B PhotoKleen EZD-3 Filter Reseller Lot of 2 New Surplus 4
MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. F Eclipse Used 3
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working 1
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working 1
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working 1
Mykrolis QCCYATM01K Filter Catridge QuickChange ATM Chemlock New Surplus 3
Progressive Technologies A48031SSLV-L Pressure Valve SENTRY 1500 Used Working 1
Asyst Technologies 06763-805 48V Control Board PCB 06763-705 Used Working 1
Genmark 95033E0021 GNK Robot Indexer and Chamber ASM Epsilon 3000 Untested As-Is 1
AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Refurbished 3
Fuji Electric YD317551CC01 Servo Driver Processor Board PCB Used Working 1
Surpass DV-5000R Digital Pressure Sensor Monitor Display 500 kPa Lot of 4 Used 3
Surpass DV-5000R Digital Pressure Sensor Monitor Display 300 kPa Lot of 4 Used 1
Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6 Used 1
Pixelink PX18HB1-L6-XAXC 18" LCD System Display SVG 879-8179-002 Used Working 1
Barnant D-1804-3 Motor Masterflex Cole-Parmer Pump Head 70 15-21 Used Working 3
Rara Electronics IRV500 Dynamic Brake Resistors Reseller Lot of 2 Used Working 5
DIP Incorporated EH0111(C)-10C Power Supply PCB EH0111 DB-D56-101E Used Working 2
DIP Incorporated EH0111(C)-12C Power Supply PCB EH0111 DB-D56-101E Used Working 4
DIP Incorporated EH0111(D)-12C Power Supply PCB EH0111 DB-D56-101E Used Working 4
TEL Tokyo Electron MPC-T0059A-11 Interface Board PCB IO MTR #03 TOB1059 Used 2
TEL Tokyo Electron AP9Z-1700B Interface Board PCB IO PIR #01 TKB7110 Used 1
TEL Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121 Used 1
Pacific Scientific R24HENA-HA-EB-NV-00 Brushless Servomotor working 1
Lambda LFQ-30-1 Regulated Power Supply Used Working 1
Lambda LFQ-30-1 Regulated Power Supply Used Working 2
Lambda LFQ-30-1 Regulated Power Supply Used Working 2
Lambda LFQ-30-1 Regulated Power Supply Used Working 14
Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used 1
Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used 1
Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used 2
Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used 1
Lambda LFS50-5-43641 Regulated Power Supply Used Working 90
Lambda LFS50-5-43641 Regulated Power Supply Used Working 7
Shinko 0TS12B-M2-1 Robot Servo Controller CNT Used Working 1
Cosel P300E-24 Power Supply Used Working 2
Cosel P300E-24 Power Supply Used Working 2
Lambda LDS-P-15 DC Regulated Power Supply Used Working 11
Lambda LDS-P-15 DC Regulated Power Supply Used Working 22
Pro-Face HTK270M HMI Touchscreen Graphic Panel Used Working 1
Omron PLC Module C200H C200H-ID212 C200H-0C22H C200H-NC112 C200H-LK201-V1 Used 1
Nova 153-00000-01 Wafer Handling Assembly Used Working 1
SVG 879-8210-001D A3101 Signal Conditioner Board working 1
VAT 0200X-BA24-AIE2 Pneumatic Slit Valve with Mounting Bracket Used Working 7
TEL Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used 1
TEL Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used 4
Nemic Lambda LWT50H-5FF Open Frame Power Supply Board PCB SCB103B Working Spare 1
TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used 1
Texas Microsystems P54C Greyhound 2 CPU Board PCB 902-F 21487A Used Working 1
Nikon NSR S204B S-2A5801 Optical Assembly S-EX3L3403 working 1
Shinko MA-18305 LED Panel Board PCB SW Used Working 1
Simco 4009180 Ionizing Bar Controller VISion Delta 2100944 Used Working 1
TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working 3
TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working 5
TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working 3
TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working 1
TeleFrank GmbH 013501-186-27 Load Port Interface Connector Used Working 2
TeleFrank GmbH 013501-186-27 Load Port Interface Connector Used Working 2
SMC 1-Port Pneumatic Manifold TEL Tokyo Electron 3D80-000055-V1 Used Working 2
MRC Materials Research A119715 Eclipse Star RF Etch Chamber Assembly Untested 1
Yasunaga YP-20V Air Purge Pump 2985-511354-12 TEL ACT12 Used Working 2
TEL Tokyo Electron 2986-400745 Precision Chill Plate 850 ACT12 Used Working 1
TEL Tokyo Electron SHU Shuttle Slider Assembly ACT 12 300mm Used Working 1
TEL Tokyo Electron Flow Pressure Gauge Panel ACT 12 Clean Track 1
TEL Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used 1
TEL Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used 1
TEL Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used 2
Daihen ES7 RF Power Generator Used Working 1
Pearl-Kogyo ES7-IIA High Voltage Power Supply Hitachi M-712E Used Working 2
Sanyo Denki 103H3505-30GEJ2 Stepping Motor G10-308 TEL Lithius Used Working 1
Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator TEL ACT12 Used Working 1
Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator TEL ACT12 Used Working 2
Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator TEL ACT12 Used Working 6
TEL Tokyo Electron 300mm Wafer Transition Station Interface Block Lithius Used 1
TEL Tokyo Electron HA-033 DC/DC COV #06 Board PCB PHA-033-0 Lithius Used Working 1
CKD LYX Pressure Valve Transducer Assembly Lot of 2 LYX-0507 LYX-0615 Used 1
Sanyo Denki 103H5510-70E1 Stepping Motor TEL Tokyo Electron Lithius Used Working 4
Tokyo Keiso UCUF-06B/ZT Photoresist Pump Assembly TEL Lithius Used Working 17
CKD PMM20-8BUR-HG-TC Pneumatic Valve Reseller Lot of 4 TEL Lithius Used Working 2
TEL Tokyo Electron SH5M015T1 Photo-Resist Filter FMVLS F16SS VCO Lithius Used 8
TEL Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working 2
TEL Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working 2
Yaskawa Electric SGMAH-02A1A4S AC Servo Motor Assembly Used Working 2
Oriental Motor PH268M-E068 2-Phase Stepping Motor Vexta Used Working 2
Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Used 2
Matheson SEMI-GAS GSM-468 Gas Safety Monitor Cabinet SGS Halocarbon 14 CF4 Used 1
Agilent E1708A Remote Dynamic Receiver with Cable 10880-60201 Lot of 2 Used 1
Sumitomo CNFM1-4095-11 Induction Gearmotor with FA-Coder 48-2500P4-L6-5V Used 1
Rudolph Technologies A23516BRT0423 Load Port Switch Module Used Working 1
Edwards W60730000 Pressure Monitor Analog Out 100V 1570 Used Working 7
Kokusai CB100A SECS Interface with GPNET OPT-23S Fiber Optic Modem Used Working 8
Irie Koken 1SV25M0 Manual Angle Valve Reseller Lot of 3 Used Working 3
Baldor BSM80A-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working 2
Baldor BSM80N-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working 1
Schott 20800 Fiber Optic Light Source Nikon 80962-1 Illuminator 80952-0 Used 2
Schott 20800 Fiber Optic Light Source Nikon 80962-2 Illuminator 80951-0 Used 1
CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-OB5S Used Working 1
CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-IB5S Used Working 1
Allen Integrated Assemblies AP14231 Backplane Connector Board PCB Used Working 3
Allen Integrated Assemblies AP10204 Main Processor CPU Board PCB Used Working 1
Allen Integrated Assemblies AP10204 Main Processor CRSD 1037 CPU Board PCB Used 3
Hitachi UNIT 2 DC Power Supply M-712E Shallow Trench Etcher Used Working 2
Hitachi UNIT 3 DC Power Supply M-712E Shallow Trench Etcher Used Working 2
Keithley PIO-SSR-120 Multi-Channel Parallel Digital I/O PCB Card Used Working 2
Axiomtek SBC8168 SBC Single Board Computer PCB Full Socket 370 CPU Card Used 2
Axcelis Technologies 544621 8 Port Serial Card PCB 544611 Used Working 1
Axcelis Technologies 544622 8 Port Serial Card PCB 544612 Used Working 1
Keithley PIO-SSR-48 Soild State Relay PCB Card PC9532 14305 PIO-SSR-24/48 Used 1
Eaton 471882 Front/Rear Control Switch PCB Module 471872 Used Working 2
IEI World 010PCI19S-00-0E1 Industrial Passive Backplane Board PCB PCI-19S Used 2
Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V3 Used Tested Working 2
SVG Silicon Valley Group 859-9426-007 Wafer Inspection Stage Used Working 1
Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 1
TEL Tokyo Electron 3D08-000063-11 Processor PCB Card Used Working 1
AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used 1
Oriental Motor A5243-042 0.75A 5-Phase Driver Vexta EB4008-2V Used Working 2
Oriental Motor A5813-042 1.4A 5-Phase Driver Vexta EB4008-2V Used Working 2
Oriental Motor A5231-44 5-Phase Driver 1.4A Vexta EB4008-2V Used Working 2
Oriental Motor A6376-44 5-Phase Driver 0.75A Vexta EB4008-2g Used Working 4
Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 00 Used 8
Advantest BGD-022241 Processor PCB Card PGD-622241BB 22 Used Working 1
TDK PSK-144B MAIN Interface PCB Card Assembly PSK145A PANEL Used Working 1
ASTeX 34-0017-02 Liquid Chemical I/O Smart Controller PCB Card Used Working 1
ASTeX 34-0153-00 X126 RS232 Remote Control Board PCB Card Used Working 1
ASTeX Applied Science & Technology 34-0151-00 User Interface Board PCB Card Used 1
Power Architects 7 4 D2A7 Power Supply PA-1319 Nanometrics 9062-018221 Used 1
AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used 1
Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used Working 4
Hitachi Wafer Alignment Unit M-511E Etching System Cut Wires Untested As-Is 1
Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used 1
Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS SST100760110 Lot of 5 Used 1
Integrated Power Designs SRW-115-4005 Power Supply Air Products 287-605230 Used 4
TEL Tokyo Electron HTE-IFA-A-11 IFB Add On Board PCB TAB1300 Lithius Used 1
TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used 1
TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used 1
Edwards NGW073000 Pneumatic Gate Valve ISO100 Copper Cu Exposed Used Working 1
TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305345-12 Lithius Used 1
TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305346-11 Lithius Used 1
TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305344-11 Lithius Used 2
Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 01 Used 2
Advantest BLD-024486 Processor Board PCB Card PLD-624486BB FW: 007171A 00 Used 1
Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007171A 00 Used 1
Mercury 10013 AE Advanced Energy R27-256558-00 RF Match 3150273-004 F/R A Used 1
Brooks Automation 146828 Series 8 Robot Controller CHE Used Working 1
Brooks Automation 146828 Series 8 Robot Controller CHE Used Working 1
Oriental Motor DFC1507 5-Phase Stepping Motor Driver Used Working 1
Oriental Motor DFC1507 5-Phase Stepping Motor Driver Used Working 1
Motorola 0734000 Processor PCB Card VME 340B 84-W8787B01C Used Working 1
AMAT Quantum Leap III TPDU Monitor Card 0100-90492 1
Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Copper Exposed Used 1
Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Untested As-Is 1
Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Used Working 1
Crouzet PAM 3 Interface PCB Board MRC Eclipse Star Used Working 3
Panasonic MSMA022C1S AC Servo Motor Used Working 1
Yaskawa Electric JRMSP-120XCP96000 Battery Module MEMOCON GL120 Used Working 1
Force Computers 109872 SBC VMEbus PCB Card SPARC/CPU-50T/256-333-4-2/R4 Used 1
Red Rock Technologies SPL2038-1 Single Slot SCSI Hard Drive Module PCB Card Used 1
Yaskawa SGMCS-08DDA-TE12 AC Servo Motor TEL Tokyo Electron Lithius Used Working 3
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 1
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 3
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 1
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 1
AMAT Quantum Leap III Power Distribution Box 9090-00482 1
iL600N Edwards NRB447945 Dry Vacuum Pump Copper Prepared New Surplus 1
Dynax K3AX-095 Robot Teach Pendant Hand Held Controller Used Working 1
Hitachi 1D0-0034 Interface Board PCB A4X24R Used Working 1
Yaskawa Electric YR-CRJ3-A00 Industrial Robot MOTOMAN Used Working 1
Aera FCPIDN980C-ABA Pressure Insensitive MFC MGMR AMAT 0190-27879 Used Working 1
Aera FCPI981CBAXDIDJAA Pressure Insensitive MFC mgmr AMAT 0190-34215 Used 1
Horiba STEC SEC-Z512MGX Digital Mass Flow Device MFC Z500 10 SLM N2 Used Working 1
Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus 1
Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus 8
Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL New Surplus 13
Varian 233355097 Pneumatic Vacuum Angle Valve NW-40-A/O Used Working 4
Hine Design 94-3374 860 Vacuum Arm Assembly RND QTZ 01102-003 Asyst Refurbished 1
Fusion Semiconductor Systems 092381 UV Probe Meter M150Z with Probe & Cable Used 1
Fusion Semiconductor Systems 092381 UV Probe Meter M150 with Probe Used Working 1
Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-01 Sensor Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used 1
IPUP A100 Alcatel A100L Dry Vacuum Pump AMAT 170 Torr Not Working As-Is 1
Daihen RGA-20C-V RF Power Generator TEL Tokyo Electron 3Z39-000002-V1 Used 4
NRF Technologies NL05S400KT-02X High Voltage RF Power Supply Used Working 1
Daifuku 7A005751-Q 10.5" Operator Interface Display Panel F1201 Used Working 4
AMAT Applied Materials 9090-00859ITL 24VDC Power Supply Used Working 1
AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used 1
Dage Backplane Systems V316-405 10-Slot Backplane Board PCB 071324A AMAT Used 1
iBT Technologies IB820H Industrial SBC Single Board Computer PCB Socket 478 Used 1
Portwell PBP-14A7-A Industrial Backplane PCB AMAT Applied Materials Used Working 1
TEL Tokyo Electron 1B80-002411-11 Temperature Controller Komatsu AIC-7 Used 3
CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used 3
CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used 10
CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used 2
AMAT Applied Materials 0040-48973 SD Stepper Interface PCB Panel 0130-00537 Used 5
AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working 1
Komatsu Electronics 20016470 AIC-7 Temperature Controller AIC-7-12-UC-D As-Is 1
NDS Surgical Imaging 20X0212-D Touch Screen Monitor CM-X15/AMRMS Used Working 3
Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working 2
MKS Instruments UHV-25-AKK-ENVN Ultra High Vacuum Angle Valve Used Working 1
Swagelok SS-4BMG-VCR Metering Bellows Sealed Valve NUPRO Used Working 1
SBS Technologies cPCI-100-BP IP Carrier Card PCB 91415171 AMAT 0660-01876 Used 4
Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. A Used Working 2
Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. B Used Working 2
SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro CompactPCI Interface PCB Card Used 2
SST Woodhead 0190-01155 DeviceNetPro PCB Card AMAT DNP-CPCI-1 490-1437 AMAT Used 2
DIP 15049105 DeviceNet Card CDN491 PCB AMAT Applied Materials 0660-01879 Used 8
Hirata HPC-785B LED Load Port Status Display Indicator Board PCB Used Working 1
Sanyo Denki QS1J03AA0WNA3P01 AC Servo Motor Driver Sanmotion Q Used Working 1
Sanyo Denki P50B04005JCP00 AC Servo Motor Sanmotion P Used Working 1
Moxa EDS-316 16-port Unmanaged EtherDevice Switch Used Working 1
Emerson SDN 10-24-100P Rack Mount 24VDC Power Supply Sola Used Working 1
Emerson SDN 9-12-100P Rack Mount 12VDC Power Supply Sola Used Working 1
Evans Components NB-GGL-8C-PT1 Manual Regulator Manifold 3100B150PG088 Used 1
Evans Components PW-08-STRPS-PTT2-12 Manual Shutoff Manifold 3103G150PG028 Used 1
Evans Components PW-12-08T2-08GVPS-PT Manual Shutoff Manifold 3103G150PG028 Used 1
Blancett B110-500-1/2 Turbine Flow Meter Transducer Sensor K-Factor 10843.3 Used 1
Edwards D37374400 iGateway Pump LON to Ethernet Synergis Module Used Working 1
Edwards D37902020 6 Pump Tool Interface Box Module Used Working 1
Edwards D37902010 Frame Interface Box 24V DC 8.4W Module Used Working 1
Edwards D37902000 Frame Controller 24V DC 1A Module Used Working 1
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working 3
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used 1
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used 1
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used 1
Perkin-Elmer A5610 4KW Control Board PCB 859-8552-005 B Used Working 15
Hitachi 560-5519 EMO CNT Emergency Off Interface Board PCB Used Working 1
Hitachi 560-5518 PS RESET Power Supply Board PCB Used Working 1
Hitachi 569-5524 PS CONT Power Supply Interconnect Board PCB Used Working 1
HC Power 10A0015-077 MOSFET Switcher Power Supply HC40-C1097 6800146070 Used 14
Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used 1
Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used 1
Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used 1
Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used 2
Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8' Used Working 1
Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used 1
Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used 1
Synetics Solutions MA-0190-13786-01 Minienvironment Pressure Gauge AMAT Used 1
DNS Dainippon Screen 2VC45348 Power Supply Module Used Working 1
Semiconductor Equipment 4460 Hot Shot Sweep Station Missing Parts Untested As-Is 1
AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Used Working 1
Hitachi DC Power Supply Unit 1 M-712E Etcher Damaged Case Untested As-Is 1
MKS Instruments LM505 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used 1
Aera FCPI980CBAXDIDJAA Pressure Insensitive MFC MGMR AMAT 0190-34214 PI-98 Used 1
VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Used Working 1
Lam Research 15-307276-00 Quartz Window Sola MSR-FSR 633015174 Cu Refurbished 3
Hitachi 3-843646-01 Ceramic Insulation Ring 233229463 New Surplus 1
V-Tex 3-850133-^8 Pneumatic Slit Valve Rollcam Copper Cu Exposed Used Working 1
AceCo S33-2240 300mm Susceptor Pedestal Heater Assembly Copper Cu Used Working 1
Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working 2
Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working 1
Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working 2
Comet 20033653 RF Match Lam Research 27-382473-00 Used Working 1
VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Copper Cu Used 1
Panasonic MSD3AZA1Y04 X Driver Unit TEL ACT12 Used Working 6
Intermec PM4C10000300220 Thermal Printer EasyCoder PM4i Lot of 2 Untested As-Is 1
VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Used Working 2
Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working 1
Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working 1
V-Tex 3D80-000006-VI Pneumatic Slit Valve Rollcam TEL Tokyo Electron Used 1
CX-5000S Comdel CX-5000S/13 RF Power Supply 5000W 13.56MHz Used Tested Working 2
Mitsubishi FX1N-40MR-ES/UL PLC Control Assembly MELSEC FX2N-16EYR FX0N-3A Used 1
Mitsubishi F930G0T-BWD-E Graphic Operation Terminal MELSEC Used Working 1
Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used 1
Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used 1
VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working 4
VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working 2
VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working 1
Ulvac PST-05A Standard Diode Ion Pump UlvIon Used Working 1
Daifuku CEL-M10A Industrial Computer PC Drive MEDA0055601A Untested As-Is 1
Millipore INGEN1PUO Photoresist Dispense System IntelliGen Used Working 3
Asyst 03365-008 200mm Wafer Indexer Lift Assembly Nikon OPTISTATION 3 Used 1
MDX 5kW AE Advanced Energy 2194-022-J Magnetron Drive 3152194-022 Tested As-Is 1
Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T Used 1
Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T As-Is 1
Yaskawa USAHEM-02-TE62 Spin Motor Assembly TEL Tokyo Electron ACT12 Used Working 1
Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Usedssembly TEL 2903-300063-13 ACT12-300 Used 1
TEL Tokyo Electron 2910-205212-11 12" Wafer Chuck ACT12-300 Used Working 1
DIP Incorporated EH0107(C) Power Supply Board PCB DB-D38-101D Used Working 4
TMP Shimadzu FTI-2301D (T1)-D3R Turbomolecular Controller Used Tested Working 3
Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Incomplete As-Is 1
Leica E84-BOARD Interface PCB Module KLA-Tencor 11301397220000 Used Working 3
Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera Link Grabber PCB Card Used 2
Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera Link Grabber PCB Card Used 2
Comtrol 9370-003193 Surge Interface RocketPort KLA-Tencor 113014000130000 Used 1
Hommel Werke UNILINE-2048 Scan Camera Jenoptik KLA-Tencor 11301396014000 Used 1
IDE 3000561 003 FSS Digital I/O Com Module KLA-Tencor 110206160810001 Used 1
Setra 2671MR6LD2ED9GN Pressure Sensor Lot of 2 KLA-Tencor 11301397562000 Used 1
KLA-Tencor 11301400065000 Main/Chuck Vacuum Control Module Used Working 2
KLA-Tencor 11301400050000 Camera Flash Unit 11 301 400 050 000 Used Working 2
Adder ADDERView GEM 4-Port KVM KLA-Tencor 11301400290000 Used Working 1
KLA-Tencor 11301400036000 Camera Power Supply Unit 11 301 400 036 000 Used 2
Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400181000 Used 1
Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400191000 Used 1
KLA-Tencor 11020616020105 AL Lens Lighting Retrofit Kit Used Working 2
Schott A20800.2 Fiber Optic Illuminator KLA-Tencor 11301396041000 Untested As-Is 1
IDE 7401030 001 FSS Side/Rear Access Version KLA-Tencor 11020616081000 Used 2
KLA-Tencor 11020616027030 EBR Lens with Slit Cover Assembly Used Working 2
Schott A20800.2/20 Illuminator DCR III A05853 KLA-Tencor 11301396041000 Used 1
Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400195000 Used 3
Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400190000 Used 2
Schott A08903 Fiber Optic Illuminator Lightline Cylindrical Lens Used Working 3
Schott A08020.60 Fiber Optic Single Flexible Lightline 60" Used Working 1
Schott A08031.40 Fiber Optic Single Flexible Lightline 40" Used Working 1
ITK Pegasus N-Axis Hi-Res Positioning Controller KLA-Tencor 11301400543000 Used 2
Schott A08031.40R Fiber Optic Single Flexible Lightline 40" Used Working 1
Aera FCPIR981C4VX9THA Pressure Insensitive MFC MGMR Lam 797-106426-011 Used 1
KLA-Tencor 11301400430000 NG Power Supply Unit 11 301 400 430 000 Used 1
KLA-Tencor 11301400403000 System Controller Macro Computer PC Used Working 2
Brooks Automation 6-002-0705-SP Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used 1
Brooks Automation 129973 Series 8 Robot Controller Used Working 2
TEL Tokyo Electron 2987-455715-W1 CRA X Cassette Block Robotics Arm 200mm Used 1
Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working 1
SMC US13394 Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0010-25625 Refurbished 1
Asyst 9700-6584-01 Advan Tag RFID Reader Set PB 90M 9700-6224-02 Sensor Used 10
Asyst Technologies CAN Device Advan Tag RFID Reader Used Working 2
Vaisala DMT347 Dewpoint & Temperature Transmitter Nikon 4S066-914 Used Working 1
Ion Systems 280 CPM Charged Plate Monitor MKS Instruments Used Working 1
ION Systems TT1ER4-1-ION2 Handheld Controller Pendant 111360 Brooks Used 1
TEL Tokyo Electron 2L81-050032-V2 Processor Board PCB TAB113-1/I01-LF Used 2
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Damaged Untested As-Is 1
Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 400 SCCM SF6 Refurbished 1
MKS Instruments 103250028 Pirani Vacuum 325 MODUCELL Hitachi 2-815886-01 New 1
Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus 1
Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus 2
Panasonic MSMA3AZA1N Compact AC Servo Motor New Surplus 1
ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. A QLC-5100 Used Working 1
Greene Tweed 5641A2276SS629 Slit Valve Door Plate Used Working 1
Yaskawa Electric JANCD-NBB30-E Backplane PCB Board F352773-1 NXC100 Used Working 1
Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100 Used Working 1
Yaskawa Electric SGDR-SDCCA01 Robot Controller PCB Card F352768-1 NXC100 Used 1
Yaskawa Electric JANCD-NIO30-1-E Robot Controller PCB Card F352760-1 NXC100 Used 1
Yaskawa Electric JANCD-NIF30-1-E Robot Controller PCB Card F352759-1 NXC100 Used 1
Yaskawa Electric JANCD-NTU30-E Robot Controller PCB Card F352761-1 NXC100 Used 1
Yaskawa Electric SGDR-COBCA01AB-E Robot Controller PCB F352766-1 NXC100 Used 1
Yaskawa Electric SGDR-COBCB030GA​B-E Power Supply PCB Card F352801-1 NXC100 Used 1
Yaskawa Electric JANCD-NSP30-E Battery Backup PCB Board F352769-1 NXC100 Used 1
Fuji Electric CSP-320FB-E Power Supply Yaskawa NXC100 Used Working 1
Asyst Technologies Load Port Door Assembly PCB 3200-1251-01 Used Working 2
Kyoto Denkiki KDS-30350SFX High Voltage Power Supply KDS-30350SF Used Working 1
Kyoto Denkiki KDS-20170TW High Voltage Dual Output Power Supply Used Working 1
KoMiCo Technology 633000021 CU LH HHP SLAM Cover 633007480 Copper Refurbished 3
VAT 65048-JH52-ALJ1 Throttling Pendulum Gate Valve 229351 Used Working 1
Millipore W2501PH02 Photoresist Pump SVG 90S Used Working 1
Horiba STEC SEC-Z512MGX Mass Flow Controller MFC 200 CCM H-CHF3 Refurbished 1
Seiko Seiki P9-HF Power Module CON2 Inverter SCU-H1000C Used Working 1
Seiko Seiki P019Y---Z856-3RB Relay Interface PCB SCU-H1000C Used Working 1
Seiko Seiki P019Z---N331-3R1 Signal Relay PCB SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z861-3 AI Resistor Board PCB H600 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z865-3A2 Multiplier Board PCB H600 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z895-3 Power Supply Board PCB SR2 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z891-3 Power Supply Board PCB SR1 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z841-3MM Backplane PCB SCU-H1000C Used Working 1
Seiko Seiki P017Z---P031-4 NF Noise Filter PCB SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z881-3D2 Capacitor Board PCB SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z871-3D1 Capacitor Board PCB SCU-H1000C Used Working 1
Seiko Seiki P019Y---Z811-3M2 H600 Control PCB Card SCU-H1000C Used Working 1
Seiko Seiki P019Y---Z801-3M1 H600 Control PCB Card SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z831-3S1 H600 Control PCB Card SCU-H1000C Used Working 1
Kokusai Electric D2E01448 #1 TIME/PLD Processor Board INT-MB PCB Card Used 1
Kokusai Electric D2E01448 #2 TIME/PLD Processor Board INT-MB PCB Card Used 1
Kokusai Electric D2E01522 Interface Display Board INT-CNBA Used Working 1
Seiko Seiki P010Y-001Z851-3 1B LED Indicator PCB SCU-H1000C Used Working 1
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working 1
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6 Used Working 1
ION Systems 5285e-28 AeroBar Emitter Ionizer 28" NilStat 5285(e) Used Working 2
Nor-Cal Products 3870-02019 Pneumatic Angle Isolation Valve NW25 Used Working 1
CGI Motion 017PLX0200-XX-5942X Planetary Angle Gearhead 20:1 New Surplus 2
Novellus Systems 2-288189-00 300mm Lift Pin Actuator Assembly Used Working 2
Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Used 1
Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-​V1-CU w/End Effector 0190-08246 Used 1
Brooks 6-0002-0706-SP Robot WTM-511-2-FWS02-​V1-CU End Effector 0190-08246 Used 1
Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-​V1 w/End Effector 0190-08245 Used 1
VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used 1
TEL Tokyo Electron Wafer Indexer Robot 300mm Immersion System Incomplete As-Is 1
SMC HRZ010-WS-Z Thermo Chiller Series HRZ Cosmetic Damage Used Tested Working 1
AMAT Applied Materials 0021-78095 Reflexion Z2 SMC Regulator Assembly Cu Used 2
AMAT Applied Materials 0021-78095 Reflexion Z3/(MM) Regulator Assembly Cu Used 3
AMAT Applied Materials 0021-78097 Reflexion RR SMC Regulator Assembly Cu Used 4
AMAT Applied Materials 0021-78095 Reflexion Z1/(IT) Regulator Assembly Cu Used 3
AMAT Applied Materials 0021-78095 Manifold with SMC ITV2001-31N3N4-X95 Cu Used 3
AMAT Applied Materials 0021-78097 Manifold with SMC ITV2031-31N3N4-X97 Cu Used 1
AMAT Applied Materials 0021-78095 Manifold 2 Serias Valve UPA Assembly Cu Used 8
AMAT Applied Materials 0021-78097 Manifold 1 Valve UPA Assembly Copper Used 2
Air Products 2262071 Stainless Steel Flex Hose Pig Tail Spool 108" Refurbished 1
Sensor Technics SQ01566 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used 2
Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used 1
Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working 1
Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working 1
VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board 88 791 PCB Used 3
VAT TECON 090-001.3 650 Series Gate Valve Heater Controller and Elements Used 1
AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-312N4-DIQ00076 Cu Refurbished 1
AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-31N3N4-X95 Copper Refurbished 1
Hitachi 3-855544-02 Stainless Steel V1 UHF Plate New Surplus 1
Entegris 2359-003 30 Foot Cable 12 Conductor Cable 12D30 New Surplus 1
AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working 1
Edwards NRY1XA520 High Vacuum Tube Tee ISO80 ISO-K iQDP Used Working 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 2
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 1
Edwards E21909516 iQDP Power Cable 7 Foot iQDP40 iQDP80 Used Working 1
Edwards E21909516 iQDP Power Cable 3 Foot iQDP40 iQDP80 Used Working 3
National Instruments 18971A-01 GPIB Controller Ethernet PCI-8232 PCB Card Used 1
Credence 97152002-02 Server Interface PCB Card 40152002 Used Working 1
V-Tex Twinrollcam Slitvalve with Gate Door 13.875"x2.125" Used Working 1
SMC HRZ010-WS-Z Thermo Chiller Series HRZ Tested Not Working No Power As-Is 1
SMC CDQ2F140C-J9092​-XC11 Pneumatic Cylinder Hitachi 3-854491-*A Refurbished 1
iL600N NL Edwards A53356945XS Dry Vacuum Pump 1 Hour Run Time Refurbished 1
iL70N Edwards A53355945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished 1
iL70N Edwards A533-55-945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished 1
iL70N Edwards NRB446945 Dry Vacuum Pump 0 Hour Copper Cu Exposed Tested As-Is 1
Edwards C31305000 Manual Isolation Vacuum Valve PV25MKS Copper Cu Exposed Used 1
DIP Incorporated EH0107B Power Supply Board PCB DB-D38-101B DFS607TD1A Used 4
Digital Electronics UF7811-2-DV1-24V LCD Touch Screen Display Copper Cu Used 23
Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working 1
Celerity FC-2979MEP5X-WM Mass Flow Controller 200 SCCM C4F6 TN2979 Refurbished 1
Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working 1
MKS Instruments 252E-14578 Exhaust Valve Controller Type 252 Used Working 1
Ultrapointe 001002T A-Stop Control Lon Motor Driver PCB 00045 V1.0 CRS-1010 Used 2
Ultrapointe 000675T Lon Motor Driver Board PCB Rev. 5 KLA-Tencor CRS-1010S Used 1
Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series Used Working 1
Nikon 4S019-059 Control Interface Board PCB IU-CTRL2 NSR Series Used Working 1
Nikon 4S008-261 Power Supply Board PCB IU-PWR2-X4P4 H=40mm NSR Series Used 1
Ultratech Stepper 03-08-00096 Stage Driver Board PCB Used Working 1
Ultratech Stepper 03-08-00090 944 Servo Board Card PCB Lithius Used Working 1
Sony XC-55BB Progressive Camera Module 02D Nikon KBB25350 NSR System Used 3
Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working 1
Jikco COX-B8A Alarm Module PSK-144B Nikon 4S587-740-1 NSR System Used Working 1
Agilent Technologies E1709A Remote High Performance Receiver Used Working 1
Brooks Automation 148301 Main IV Board PCB 173277 Used Working 3
Brooks Automation 148285 LCD Display IV Board PCB Vision LPM Load Port Spare 3
Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working 1
Tadin TadiGuard Type 006 Computer MRC Eclipse Star 1
Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used 4
Agilent 5517DN07 Interferometer Laser 214uW Nikon 4B991-156-1AN NSR-S204B Used 1
Riken Keiki OS-B11N Oxygen Sensor Nikon NSR-S204B System Used Working 1
Sony XC-73 CCD Video Camera Module Nikon NSR-S204B Step-and-Repeat Used Working 1
KLA-Tencor 2365-UI Marathon G580 1/3HP Motor Fan 1
Marathon Electric DVB-56T17T5305E P G580 1/3HP Motor Fan 1
Cosel P150E-24-N Power Supply P150E-24 Used Working 3
Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working 2
KLA-Tencor 2365 EMO Control Module 710-734976-001 1
Shinko 3CL511A010000 Power Supply Board PCB NBC-C Asyst VHT5-1-1 Used Working 1
Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 Bad Screen 1
Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-1 Used Working 1
Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-2 Used Working 1
MRC Materials Research 885-24-000 Interface Board PCB Rev. B Eclipse Star Used 1
TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working 1
TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working 3
TEL Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working 8
TEL Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working 4
TEL Tokyo Electron 5085-411385-11 Wafer Spin Chuck Lithius DEV Module Used 9
Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5086-403316-12 Lithius DEV ASSY Used 10
Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5086-403316-12 Lithius DEV ASSY Used 1
Panasonic MFA090HA5NSC Servo Motor Assembly TEL 5086-403306-12 Lithius COT Used 1
TEL Tokyo Electron 5087-400487-15 Solution Valve Cable CKD AMF-V-X1 Lithius Used 9
TEL Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve AMF-V-X1 Incomplete Used 4
PRMS Incorporated 1005001 Macroillumination Lamp & Power Supply Set 1005002 Used 1
TEL Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Used Working 1
Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis Nikon NSR Used 1
QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished 8
AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used 2
Lam Research 719-003481-872-C Ceramic Plate Used Working 1
Lam Research 02-287782-00 PED Assembly Machined Finish Cu Exposed Used Working 1
VAT 02112-AA44-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working 1
AMAT Applied Materials 0041-32713 Shower Head Used Working 1
AMAT Applied Materials 0041-32713 Shower Head Used Working 2
AMAT Applied Materials 0041-32713 Shower Head Used Working 3
Lam Research 02-287782-00 PED Assembly Machined Finish New 1
Haldex Hydraulic Reservoir Tank Pump w/ Dayton Industrial Motor Used Working 1
AION BS910-2 SCL Brush Roller Reseller Lot of 4 New 1
NxEdge LL Arm End Effector Refurbished 1
AMAT Applied Materials 0200-08584 Top Pocketed Cover Used Working 1
VAT 07512-UA24-0002 Slit Valve Used Working 1
Asyst Technologies 860 Vacuum Arm with Arm Controller Board PCB 06764001 Used 1
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Copper Untested As-Is 1
Asyst Technologies Theta Arm Assembly Hine Design Used Working 1
Genmark Automation GNK Robot Indexer and Chamber 300mm ASM Epsilon 3200 As-Is 2
SGI Silicon Graphics 030-1241-002 SE Graphics Board PCB Card Rev. H Used Working 1
SGI Silicon Graphics 030-1241-002 SE Graphics Board PCB Card Rev. E Used Working 1
Novellus Systems R02-351245-00 Clamshell Drive DP APC Ready Refurbished 1
ASM Advanced Semiconductor Materials 1025-446-01 Interface Board PCB TYPE4 Used 1
ASM Advanced Semiconductor Materials 70065-00044A Motor SPGR PCB Card Used 18
ASM Advanced Semiconductor Materials 70065-00037C Motor DIO PCB Card Used 6
JAE Japan Aviation Electronics UT3-06NV1RR1DSS14-A PCB ND1066-3801-001 Used 1
Mitsui Chemicals AMKS-AJ7A Pellicle Photomask Dust Free Membrane New Surplus 2
Mitsui Chemicals A6FKU-AJ7A Pellicle Photomask Membrane New Surplus 1
DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-01270 DIP-340-013 Used 2
NSK E043ZZIF1-001 XIF Board PCB E010ZZIF1-001-2 TEL Tokyo Electron Sensors Used 1
Applied Precision 21-000319-002 I/O Interface Board PCB 20-000319-000 Used 1
Taiyo Machinery 0038 12" Wafer Map Sensor Unit with Omron E3C-T1A Used Working 1
ASM Advanced Semiconductor Materials 1008-148-01 Reactor I/F Type 3 PCB Used 1
Applied Precision 21-000315-001 Sort Switch Board PCB Card Used Working 3
Applied Precision 21-000315-000 Switching Board PCB Card Used Working 2
MKS Instruments AS01396-6-11 CDN396R Board PCB Card AMAT 0190-24116 Used Working 2
Applied Precision 21-000317-001 Processor Interface Board PCB Used Working 1
Applied Precision 21-000315-001 Sort Switch Board PCB Card Rev. 02 Copper Used 2
Applied Precision 21-000315-001 Sort Switch Board PCB Card Rev. C Copper Cu Used 1
AMAT Applied Materials 0100-76269 RF Match Control Board PCB 0110-76269 Used 2
Berkeley 100-000-721-01 Servo Motor MTR.300W BRSH.W/STD ENCOR.R2 Used Working 9
Advantech PCA-6147/6137 SBC Single Board Computer 486/386 CPU Card PCB Used 1
DigiBoard (1P)50000182 ISA Adapter PCB Card 30000352 55000178 Used Working 2
StarTech CI-5010 Twin Serial Port RS232 ISA PC Interface PCB Card Used Working 1
Omron C200PC-ISA13-SRM-E ISA Board PCB Card C200PC-ISA03-1 3376997-5B Used 1
National Instruments PCI-232/485.4CH 4-Channel Serial Interface PCB Card Used 1
Dedicated Computing OEM-A1811R Server PC 61-381415-00 Novellus 61-381415-00 Used 1
TEL Tokyo Electron 877 CRA Cassette Block Robotics Arm ACT12-300mm Used Working 2
SCP Santa Clara Plastics 3270091G Debug MCS Display Used Working 2
Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. A Used 1
Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. C Used 1
Fire Sentry S7-2175 System 7 Control Panel Used Working 2
Parker DC3 Power Supply Open Frame Compumotor Xzel XL-1003 Used Working 6
Omega D1701 Digital Input/Output Transmitter OMEGABUS Reseller Lot of 2 Used 1
SCP Santa Clara Plastics 3270171G MCS Auxiliary Relay Unit 6952164J Used Working 3
Yaskawa Electric SGDA-01APPY126 Servo Drive SERVOPACK JUSP-OP03A Used Working 2
Panasonic CK88YP200V03 Power Unit PCB Card TEL Tokyo Electron ACT12 Used Working 1
Panasonic 581B357C CPU Processor PCB Card TEL Tokyo Electron ACT12 Used Working 1
Panasonic 581B344B Backplane Connector PCB TEL Tokyo Electron ACT12 Used Working 2
Omron SYSMAC C200H Programmable Controller PLC Assembly C200H-CPU02 Used 4
AMAT Applied Materials E15004184 ISA Master Loop PCB Card Varian New Surplus 1
AMAT Applied Materials E15004184 ISA Master Loop PCB Card Varian Damaged New 1
AMAT Applied Materials 0100-01765 I/O Interface Board PCB Reflexion CMP New 1
Sony 1-675-992-12 Laserscale Processor PCB Card DPR-LS21 EP-GW Used Working 1
Nikon 2S013-076 200mm Wafer Prealigner PCB 2S700-600 OPTISTATION 3 Used Working 2
Nikon 2S700-536 Prealigner Optical Sensor Receiver 2S017-141-1 OPTISTATION 3 1
Nikon 200mm Wafer Prealigner Pneumatic Chuck Assembly OPTISTATION 3 Used Working 1
Shinano Kenshi SST39D2010 Step Motor and Gear Assembly Nikon OPTISTATION 3 Used 1
Elo LS15-5S0F Open Frame Touchscreen 15.1" LCD Monitor Used Working 1
Lam Research 02-287781-00 15" Heater Pedestal PED Assembly Novellus Used Working 1
Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working 1
Lam Research 02-287781-00 15" Heater Pedestal PED Assembly Rev. C Novellus Used 1
Edwards Y14204000 TMS Temperature Management System Y14501103-H New Surplus 1
Asyst Technologies 9701-2937-01 RFID Advantag Gateway ATR Rev. C Used Working 1
VAT 12148-PA24-AIG1 Pneumatic Vacuum Gate Valve Series 121 Bend Actuator As-Is 3
Sanyo SRT-7072 72H Real Time Cassette Recorder with VM-6612 Monitor Set New 1
Kokusai Electric ALD Furnace Shutter with Viewport Used Working 1
Kokusai Electric D5CP42062-000 ALD Furnace Shutter Used Working 1
TEL Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished 2
VAT 07512-UA24-0002 Slit Valve No Gate Copper Cu Exposed Used Working 1
Lam Research 233508383 8" Anodized Al Aluminum Cathode New Surplus 34
SPG S9R90MB-ES12 E.S Motor with Siti Gear Head MI 30 A9 Used Working 1
SPG S9R90MB-ES12 E.S Motor with Siti Gear Head MI 30 G9 Used Working 1
AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-20350 As-Is 1
AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-01906 As-Is 1
KLA-Tencor 0251751-000 ASD Phoenix Robot System Control Computer Used Working 2
KLA-Tencor 0148378-000 Robot Interlock Controller Assembly Used Working 2
Kawasaki 50979-2842LA1 Wafer Handling Robot Signal Cable X1M 5 Foot Used Working 1
Kawasaki 50979-2843LA1 Wafer Handling Robot Signal Cable X2M 5 Foot Used 1
Hitachi Kokusai TZBCXL-00088A Cassette Handling Robot TZBCXL Used Working 1
Kawasaki 50979-2874LA0 Wafer Handling Robot Power Cable X3 11 Foot Used Working 1
Kawasaki 0301851-000 Robot Interlink with Advantech 8-Port Serial Cable Set Used 1
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V Used Working 12
Hitachi Kokusai TZBCXL-00021A Wafer Cassette Handling Robot 300mm DD-1203V Use 1
Hitachi Kokusai TZBCXL-00022A Wafer Cassette Handling Robot 300mm DD-1203V Used 1
Hitachi Kokusai TZBCXL-00003A Wafer Cassette Handling Robot 300mm DD-1203V Used 1
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Sensors 2
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers 2
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers 3
VAT Series 650 Pendulum Control and Isolation Valve 98800 Used Working 2
VAT 65040-PA52-AWV1 Pendulum Control and Isolation Valve 98800 Used Working 2
VAT 235154 Throttling Pendulum Vacuum Gate Valve 229351 650 Series Used Working 1
VAT 65.0 Series Aluminum Pendulum Gate Valve Body Frame Reseller Lot of 3 Used 1
VAT 98800 Series 65.0 Pendulum Gate Valve Actuator Used Working 2
VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board PCB 88 791 Used 3
SVG Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S Used 1
Hitachi CR-712 Series Wafer Transfer Clean Robot with Cables FEM-312 EFEM Used 1
Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02​-​V1 w/End Effector 0190-08245 Used 1
Hitachi Wafer Alignment Unit with SunX Laser Line Controller FEM-312 EFEM Used 1
Hitachi LP12-II Wafer Load Port Assembly FEM-312 EFEM No Sensor Used Working 1
Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM Used Working 1
Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM Used Working 1
Brooks Automation 013089-132-20 Load Port FIXLOAD V6 Untested Damaged As-Is 1
VAT 650PM-24CH-AEU2 Adaptive Pressure Controller PM-6 TEL 3D80-001597-V2 Used 2
Sanyo Denki 103F7851-80HXL4 Stepping Motor StepSyn Actuator RH-14-50-CC-SP Used 5
TEL Tokyo Electron 844 LHP Low Temperature Hot Plate ACT12 Incomplete As-Is 1
3Com 1675-510-000-1.00 Office Connect 5-Port Dual Speed Hub Lot of 16 Used 1
Minicom 1SU52023 Phantom MXII KVM Switch Reseller Lot of 16 Used Working 1
Hitachi ECI Microwave Auto Tuner Box Assembly CMC-10A CMC-ADP2 FEM-312 EFEM Used 1
Hitachi HT98312 Interface Connector Board PCB BD12 Used Working 2
Hitachi HT98822 Interface Connector Board PCB BD14 Used Working 1
Hitachi HT98313 Power Relay Board PCB BD13 Used Working 1
Electroglas 254921-001 Prealign Module Interface Amp Board PCB 254920-001 Used 1
VAT 650PM-24CH-AEU3 Adaptive Pressure Controller PM-6 TEL 3D80-001597-V3 Used 2
ASM Advanced Semiconductor Materials 2902044-01 Monitor Assembly Used Working 1
Lam Research S31A-CB-006-Q Ceramic Isolation Ring 0.0mm BEOL Used Working 1
Edwards A50588000 XDS Vacuum System Exhaust Silencer Housing NW40 Refurbished 4
Edwards A50588000 XDS Vacuum System Exhaust Silencer Housing NW40 Refurbished 1
Edwards 90 Degree Vacuum Elbow Stainless Steel Pipe NW25 Lot of 3 Refurbished 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Refurbished 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Refurbished 5
Edwards C10517431 Reducing T-Piece High Vacuum Tube Tee NW50 NW25 Refurbished 3
Edwards C10516294 Braided Flexible Pipeline Bellows 5.3" NW40 Refurbished 7
Edwards NW25 Exhaust Check Valve for Dry Pump Vacuum Systems Refurbished 2
Edwards NW25 Exhaust Check Valve for Dry Pump Vacuum Systems Refurbished 3
Edwards 8.5" Reducing T-Piece High Vacuum Tube Tee NW50 NW25 Refurbished 1
AMAT Applied Materials 0100-90967 Beamline Instrumentation Backplane PCB XR80 1
AMAT Applied Materials 9090-00033 Power Supply Assembly XR80 Artesyn ‎NLP65 Used 1
AMAT Applied Materials 0090-91640 Power Supply Assembly XR80 ‎WSR45UM44-C Used 1
ASM Advanced Semiconductor Materials 1082-728-01 Heater 300 High Uniform Used 1
Lam Research 02-112622-00 Index Transfer Plate Novellus 15-055437-00 Used 1
Sanyo Denki PM-UPD1S06-20 PM Driver D5-1-40174-1 TEL Tokyo Electron ACT12 Used 5
Futurestar 5A0-090-8F-67 Paddle Wheel Flow Meter Kit Banner Q23SN6FPY New 1
Crouzet Pneumatic Components Lot of 14 722-851 81519 722-873 722-889 723-006 New 1
AMAT Applied Materials 0195-01642 LCWE Pneumatics Box Reflexion CMP Damaged New 1
Vicor 20-130156-01 Switching Power Supply Module MegaPAC L15V/5A Used Working 4
Vicor 20-130056-05 Switching Power Supply Module MegaPAC QPAC-200 L15V/5AW Used 4
Vicor 20-130056-10 Switching Power Supply Module MegaPAC L5.1V/19.6ADL Used 1
Vicor 20-130056-04 Switching Power Supply Module MegaPAC L5.1V/19.6AWDL Used 1
Vicor 20-130156-01 Switching Power Supply Module MegaPAC L12V/8.3ADL Used 1
Vicor 20-130156-05 Switching Power Supply Module MegaPAC L12V/8.3AWDL Used 1
Vicor 20-130056-10 Switching Power Supply Module MegaPAC QPAC-200 L5V/20A Used 1
Vicor 20-130056-04 Switching Power Supply Module MegaPAC QPAC-200 L5V/20AW Used 1
Vicor 20-011106-01 3 Phase E/D Board PCB 24-011106-01 Used Working 2
Vicor 20-011108-01 3 Phase Driver Board PCB 24-011108-01 36-00016 Used Working 2
Vicor 20-011101 AC Line Filter Board PCB 24-011108-01 Used Working 2
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065 Used Working 2
Honeywell 092306 300mm 5N Ti Titanium Target 20.625X17.478X1.000X0.500IN Used 1
ASM 73008-70523 Susceptor Pedestal Heater 73050-70274 ASSY/HEATER-H12LH Used 1
Kokusai Electric D5CP42062-000 ALD Furnace Shutter Stained Used Working 1
VAT Series 65.0 Pendulum Control and Isolation Valve 98800 Copper Exposed Used 1
Power-One RPM5E5E5E5E5KS379 Power Supply 4000W Teradyne 405-236-00 A Used 3
VAT Series 65.0 Pendulum Control DN 100 4" Valve Parts Reseller Lot of 12 Used 1
Power-One BD65124B Power Supply Plug Converter Board PCB BD65126B Lot of 9 Used 1
TEL Tokyo Electron SH5M015T1 Photoresist Filter Assembly TMD002-X8 ACT12 Used 16
TEL Tokyo Electron F-T100-3 Photoresist Pump ACT12 Used Working 4
Omron EE-SPX613 Photoelectric Sensor Reseller Lot of 16 Used Working 1
Agilent Technologies E1709A Remote High Performance Receiver Reseller Lot of 2 8
TEL Tokyo Electron Wafer Tray Loader Assembly P-8 200mm Wafer Prober Used 1
TEL Tokyo Electron Wafer Stage Assembly 200mm P-8 Automatic Prober Used As-Is 1
TEL Tokyo Electron PHP Pneumatic Control Panel ACT12-300 300mm Used Working 1
TEL Tokyo Electron 3281-000048-12 HC Interconnect 2 PCB 3208-000048-12 P-8 Used 1
TEL Tokyo Electron 5085-404315-17 LD Bath Assembly DEV ASSY Lithius Used Working 9
TEL Tokyo Electron 845 CPL Chill Plate Process Station ACT12-300 Used Working 3
TEL Tokyo Electron CPL Chill Plate Process Station ACT12-300 SOG SOD C4 Used 1
Sinfonia Technology SDD-W-30D750W-2-1 AC Servo Drive Asyst Shinko VHT5-1-1 Used 2
Sinfonia Technology SDD-W-30D750W-2-1 AC Servo Drive Asyst Shinko VHT5-1-1 Used 2
Shinko Electric E4305502406 AC Servo Driver BD-02-B Asyst Shinko VHT5-1-1 Used 1
Shinko Electric E4305502406 AC Servo Driver BD-02-B Asyst Shinko VHT5-1-1 Used 1
Sunx Sensors PX-22 AS Obstacle Detection Sensor Lot of 4 Shinko VHT5-1-1 Used 2
Sunx Sensors PX-22 AS Obstacle Detection Sensor Lot of 4 Shinko VHT5-1-1 Used 4
Hokuyo Automatic PB9-07B-S Optical Transmission RPB9022 Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic PB9-07B-S Optical Transmission RPB9022 Shinko VHT5-1-1 OHV Used 4
Yaskawa SGDF-A3CS Servo Drive SERVOPACK Reseller Lot of 2 Shinko VHT5-1-1 Used 2
Yaskawa SGDF-A3CS Servo Drive SERVOPACK Reseller Lot of 2 Shinko VHT5-1-1 Used 4
Mitsubishi MR-C20A AC Servo Driver MELSERVO Asyst Shinko VHT5-1-1 OHV Used 2
Mitsubishi MR-C20A AC Servo Driver MELSERVO Asyst Shinko VHT5-1-1 OHV Used 4
MRC Materials Research A115105 KBD Remote Assembly Eclipse Star Used Working 1
Hokuyo Automatic PB9-10-Z-S Optical Transmission RPB9023 Shinko VHT5-1-1 Used 4
TEL Tokyo Electron CPHP General Chamber CPHG Type RKC REX-B874-CS2A Lithius Used 2
TEL Tokyo Electron CPHP General Chamber CPHG RKC REX-B874-CS2A Lithius As-Is 3
Keyence BL-185 Ultra Small CCD Barcode Reader Reseller Lot of 2 Used Working 2
Yamatake FE7C-TWC6R Photoelectric Sensor Reseller Lot of 4 Used Working 1
Hokuyo Automatic DMS-HB1-V Optical Transmission Asyst Shinko VHT5-1-1 OHV Used 1
Hokuyo Automatic DMS-HB1-V Optical Transmission Asyst Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used 1
Mitsubishi HC-PQ23BG2K AC Servo Motor BK2-09B-02MEKAK1 Shinko VHT5-1-1 OHV Used 3
Yaskawa Electric SGMM-A3C3SD11 AC Servo Motor Lot of 2 Shinko VHT5-1-1 OHV Used 4
Maxon 221134 A-max Motor Gear Head GP026A037-0010BA00A 4601 Shinko VHT5-1-1 Used 4
TEL Tokyo Electron 3D86-003060-V1 RF Cable 70 Foot 21 Meters Used Working 3
TEL Tokyo Electron 3D86-002829-V1 RF Cable 70 Foot 21 Meters Copper Exposed Used 3
Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Used 3
Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Used 3
Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used Working 1
Measurement Computing 193797A-01 Input Board PCB Card PCIM-DAS1602/16 Used 1
IEI PX-14S3-RS-R30 14-Slot PICMG Backplane 015P023-00-300-RS Board PCB Used 1
Edwards D37215232 iNIM Network Interface Backplane Board PCB D37215235 Used 1
DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working 1
DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working 1
DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working 1
STEC SEC-4600M Mass Flow Controller MFC SEC-4600 100 SLM H2 Used Working 1
RKC Instrument REX-B870-CS1 Temperature Controller TEL ACT12 Used Working 1
RKC Instrument REX-B870-CS1B Temperature Controller TEL ACT12 Used Working 4
Yamamoto EMD7 D1T0D Manometer 100 Pa Lot of 4 TEL Tokyo Electron ACT12 Used 1
CKD AMDZ1-X50 Pneumatic Valve Reseller Lot of 4 TEL Tokyo Electron ACT12 Used 1
CKD AMDZ1-X50 Pneumatic Valve Reseller Lot of 4 TEL Tokyo Electron ACT12 Used 1
Asyst Shinko Motor Assembly Olympus BJ524S592 DA E140S592 DV217900 VHT5-1-1 Used 1
Asyst Shinko Motor Assembly Olympus BJ524S001 CE E140 DV217900 VHT5-1-1 Used 1
Varian E31001230IIS Dry Vacuum Pump TriScroll Franklin 1201006408 Tested As-Is 1
Hirata AR-W170VCL-4-T-40 4 Axis Dual Arm Wafer Handling Robot Used Working 1
Mitsubishi RV-E14NHC-SA06 Industrial Robot Set with Controller CR-E356-S06 Used 1
Mitsubishi HTR Brake Box Industrial Robot RV-E14NHC-SA06 Used Working 1
Meiden UA024/713A Industrial Controller Computer µPIBOC-I 600Mhz Used Working 1
Meiden UA024/713A Mitsubishi Controller Computer µPIBOC-I Q80BD-J71BR11 Used 1
Dolan-Jenner A-241L 150 Watt Regulated Power Supply Fiber-Lite KLA-Tencor Used 1
Olympus U-AFA11M-KLA Microscope Active Auto Focus with BX-UCB Controller Used 1
Hitachi 2R007100 SBC Single Board Computer PCB Card LCPU100 2R007104 Used 2
Takenaka ASW-0012B-1 Communication Module DNS Dainippon Screen FC-3000 Used 1
SSD Shishido Electrostatic BF-ZB Air Ionizer Cleanroom Blower Used Working 1
Hitachi HT94219A Interface Relay Card PCB PI01 Ver. F M-712E Used Working 1
Tokyo Electronics 3KV High Voltage Sensor Module Used Working 3
AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-70497 Used Working 4
AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-53481 Used Working 1
Aerotech MXH100-D-16M/ES13497 High Res Encoder Signal Multiplier Tencor 561975 1
Electroglas 255423-007 Remote Microscope Control Module 4085X Horizon Used 1
Ametek 5-7004 AMETEK-RTP Fan with Control PCB Assembly 5-7006 Used Working 1
Horiba STEC SEC-7350BM Mass Flow Controller 20 SLM N2O SEC-7350 Used Working 2
Horiba STEC SEC-7350BM Mass Flow Controller 30 LM N2 SEC-7350 Used Working 1
Horiba STEC SEC-7350BM Mass Flow Controller 10 LM H2 SEC-7350 Used Working 1
STEC SEC-4500MC-SUC-016 Mass Flow Controller SEC-4500 10 LM H2 Used Working 1
STEC SEC-4500MC-SUC-016 Mass Flow Controller MFC SEC-4500 10SLM H2 Used Working 1
STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 10 LM O2 Used Working 1
STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 20 LM O2 Used Working 1
Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used 1
Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used 1
Horiba STEC SEC-Z512X Digital Mass Flow Device MFC Z500 1 SLM Ar Used Working 2
Kokusai Electric D4E01298 Interface Board PCB Module SPCONV2 A/O Used Working 1
Yaskawa Electric SGMAH-A3BBA2S AC Servo Motor Gear Assembly Used Working 2
STEC SEC-4550M Mass Flow Controller MFC SEC-4550 20 LM N2O Used Working 3
Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V TEL 3D80-001479-V1 Used Tested 2
Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 No Wheels Working 1
Daihen WGA-50E-V RF Generator Stack TEL 3D80-001480-V2 Used Tested Working 2
Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V TEL 3D80-001479-V2 Used Tested 1
Daihen RGA-50C-V RF Power Generator TEL 3D39-050099-V4 Used Tested Working 1
Daihen RGA-50C-V RF Power Generator TEL 3D39-050099-V4 Used Tested Working 1
KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working 1
KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working 1
SMC ITV2030-31N2N4 Electro-Pneumatic E/P Regulator 1971080-002 Used Working 2
Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working 2
Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working 3
Melco Technorex EQ-142 Data I/O PCB Module Nikon 4S587-436 Used Working 1
Parker Veriflo 45600672PA Valve Reseller Lot of 5 SQMICROHF502PUPG6049VESP Used 1
Tescom 44-2260-242-010 Manual Pressure Regulator Valve Reseller Lot of 3 Used 1
Copal Electronics PS6-103G-NAM-014 7-Port Pressure Switch Assembly Used Working 1
Idec PS3E-C24 Compact Power Supply 24VDC Reseller Lot of 6 Used Working 1
AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC New 1
Edwards NGW415000 Pneumatic Gate Valve Copper Cu Exposed Used Working 1
Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Copper Cu Exposed Used 2
Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Series Used Working 1
TEL Tokyo Electron 20-Port Pneumatic Manifold 41-60 CKD 4SB019-C3 ACT12 Used 1
FSI International 294025-400 Interface PCB 264025-200 Reseller Lot of 2 Used 4
Pilz 774300 Safety Relay DIN Mount PNOZ X1 Reseller Lot of 2 Used Working 1
TEL Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working 4
TEL Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working 2
TEL Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working 1
TEL Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working 2
Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Bent Bolt Used Working 1
CTI-Cryogenics Cold Head Compressor AC Distribution Helix Remote No Cover Used 1
TEL Tokyo Electron CT2981-600534-11 BOARD.CONN BLT/L CT2908-600534-11 ACT12 Used 26
Mitsubishi FR-E520-0.75KN Inverter FREQROL-E500 Reseller Lot of 2 Used Working 1
Peak Optics 97844708 Microscope Reticle Measurement 1 DIV 0.005 100X PS44 Used 1
Kuroda SPCBUA2-20-40-Z​V Wafer Robot TEL Tokyo Electron 3D80-000008-V4 As-Is 1
Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used 1
Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used 2
Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. C Used 1
CKD N4E0 Series 16-Port Manifold TEL Tokyo Electron Lithius Used Working 2
Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working 2
Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working 3
Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working 4
Berkeley Process Control USA4-11-28-UR Multi-Axis Universal Servo Amplifier Used 2
Berkeley Process Control USA2-22-35 Multi-Axis Universal Servo Amplifier Used 1
Banner MUSC-1 Multi-Screen Light Curtain Control Box with 41206 Used Working 2
Banner MSCA-1 Multi-Screen Light Curtain Control Box with 41421 Used Working 1
Oriental Motor PK543BW1-H50 5-Phase Stepping Motor VEXTA Used Working 1
CKD AMD11-X1491 Valve Reseller Lot of 6 TEL Tokyo Electron ACT12 Used Working 1
Koganei F-AVP125-19W Pneumatic Valve Lot of 10 TEL Lithius Used Working 6
Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 8 TEL Lithius Used 2
CKD AMD312-10BUR-14-TC Pneumatic Valve Photoresist Lot of 10 TEL ACT12 Used 1
Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working 12
Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working 1
V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron Used Working 1
Baldor BSM80A-375BA Brushless AC Servo Motor S2P117W009G1 Used Working 5
Gerwah AKN 60 Metal Bellows Coupling Gearhead Reseller Lot of 4 Used Working 1
Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used 3
Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used 2
Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used 1
HVA High Vacuum Apparatus 11211-1003R Gate Valve Used Working 1
Hitachi HT98311 Interface Connector Board PCB BD11 M-712E Used Working 3
NEC FC-S16W/SB4V6A Industrial Desktop Computer FC98-NX FC-S16W with MOR/2VD Used 1
Shimadzu EI-D3203M Turbomolecular Pump Controller 1.2K TMP Used Working 1
Novellus 61-294221-00 Rev. A Spindle Yaskawa YSC-02D04B021 Used Unlisted 1
Hitachi ILE-02 Relay Switching Board PCB Card M-712E Shallow Trench Etcher Used 3
Hitachi AIO-02N Analog I/O PCB Card M-712E Shallow Trench Etcher Used Working 6
Hitachi DIO-01N Digital I/O Board PCB Card M-712E Shallow Trench Etcher Used 6
Jobin Yvon H-10 VIS Monochromator DA-40 Tokyo Electron Unity II Cut Cable Used 1
Nikon 4S008-035 Lamp Control Module with Interface Cables NSR Series Used 1
Square D EE30T3HF Sorgel Three Phase General Purpose Transformer 30kVA Used 1
Varian Semiconductor Equipment E11470670 Power Supply Interface Used Working 1
Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working 1
Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working 2
Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working 2
Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working 1
Kaijo 68101-A3T-UL Ultrasonic Generator HI MEGASONIC 600 Used Working 4
KoMiCo Technology 633000138 CU 3 Port 5 Hole Mist Trap Copper Exposed Used 1
Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used 1
Kuroda SPCBUA2-20-16-ZV Wafer Robot TEL 3D80-000009-V4 No End Effector Used 1
Kuroda SPCBUA2-20-16-ZV Wafer Robot TEL 3D80-000009-V4 No End Effector Used 1
MRC Materials Research Plenum Wafer Chuck Mosier S-23503-1 Eclipse Star Used 1
Asyst Technologies 810-2850-A PWM Motor Driver Board PCB Hine Design Used 1
SMC 8-Port Pneumatic Manifold Lot of 2 TEL Tokyo Electron 3D80-001913-11 Used 2
NSK ELC-JG014VF3-01 300mm XP Robot Controller ELC AMAT 0190-19536 Used Working 3
SensArray 05-3613_SA Field Replaceable Battery Pair 39-3613 KLA-Tencor Used 2
Pacific Scientific High Yield Technology 20B Particle Sensor 10-10350-00 X1 Used 2
MKS Instruments 51A11TGA2BA003 Baratron Pressure Switch Type 51A Used Working 3
MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working 3
MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working 4
MKS Instruments 722A12TCD2FA Absolute Pressure Transducer Type 722A Used Working 1
CKD FGL11-X0029 High Vacuum Break Leak Valve Used Working 4
Regal Joint FS-10S Flow Sensor FS-10 Reseller Lot of 2 Used Working 1
Heidenhain RON 806 36000 54S09-2S Incremental Angle Encoder 355 885-17 Used 1
Swagelok SS-4BMRG Bellows Sealed Metering Valve NUPRO Used Working 1
Swagelok SS-4BMG Metering Bellows Sealed Valve NUPRO Used Working 2
Swagelok SS-4BMRG-V16 Metering Bellows Sealed Valve NUPRO Used Working 1
Jennings RGH5-26S High Voltage SPDT Gas Relay AMAT 9150-02974 Used Working 4
TEL Tokyo Electron Prealigner Loader Module T-3044SS Used Working 1
Rudolph Technologies A19796ART0308 UV Optics Assembly A19796 A15032 Used Working 1
Fujikin Incorporated WVG-SD-O1Z1C2A Water Vapor Generator Used Working 1
V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron No Gate Used 1
Varian 1243-L6281-301 Pneumatic Angle Valve NW16 A/O Used Working 1
Varian 1243-L6281-302 Pneumatic Angle Valve NW25 A/O Used Working 2
MKS Instruments 51A11TCA2BA005 Baratron Pressure Switch Type 51A Used Working 1
MKS Instruments 722A12TCE2FA Baratron Pressure Type 722A Transducer Used Working 1
RECIF Technologies IDLW8/H9090 PCB Board with Symbol STI80-0200 Cable Used 1
Kromschroder 84367720 Automatic Burner Control IFS 110IMT-10/2/2N Used Working 2
PULS SL2.100 DIN Rail 24.5 VDC Power Supply SL 2.5 Reseller Lot of 2 Used 1
Kawasaki C60C-A001 Robot Controller Nikon 4S082-666-2 4S211-553-2 NSR Used 1
Hitachi ZVL808-H Driver Interface Board PCB Card ZVL808 Used Working 5
Hitachi ZVL808-J Driver Interface Board PCB Card ZVL808 Used Working 5
Hitachi ZVL897-4 Processor Control Board PCB Card OFV-DTCT ZVL897 Used Working 2
KEL VMEbus BACKPANEL J1 09 Backplane PCB Board Used Working 2
Hermos TLG-I1-1000-S0-00EB Transponder Reader with Sensor ANT-ID2-INFIXR6 Used 1
Hermos THG-S1-1000-S0-00EB Transponder Reader with Sensor ANT-AXC-IR6 Used 1
Brooks Automation ANT-ID2-INFIXR6 Fixload Antenna Hermos Reseller Lot of 4 Used 1
AMAT Applied Materials DTCU Dome Temperature Control Unit Fan Module Assembly 1
Panasonic MSDA021A1A AC Servo Driver MINAS A-series  Used Working 2
Cosel P30E-12 Compact Power Supply 12V 2.5A Reseller Lot of 4 Used Working 1
Cosel P150E-5 Compact Power Supply 5V 30A Reseller Lot of 2 Used Working 1
CAL Controls 3200 DIN Economy Temperature Controller Reseller Lot of 3 Used 2
Kromschroder 84391075 Ignition Transformer TGI 5-15/100R TZI 5-15/100R Used 2
Kromschroder 84391030 Ignition Transformer TGI 7,5-20/33R TZI 7,5-20/33R Used 1
Kromschroder 84391030 Ignition Transformer TGI 7,5-20/33R TZI 7,5-20/33R Used 1
Kromschroder 84391030 Ignition Transformer TGI 7,5-20/33R TZI 7,5-20/33R Used 1
Automation Direct D2-09B-1 9-Port PLC Controller Direct Logic 205 Koyo Used 1
Fuji PS-1105U Momentary Line Drop Protector PS-1105 Hitachi M-712E Used Working 1
Hitachi Operator Interface Panel Keyboard and Monitor Set M-712E Used Working 2
Bird 4391 Dual Element RF Power Analyst 2-30MHz 500H and 1000H Used Working 1
Brooks Automation 119215 Series 8 Robot Controller Used Working 1
Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used 1
Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used 1
Pioneer Magnetics 123621 Power Supply PM1203A 84-012-001 Used Working 1
MKS Instruments 127AA-000.1B--S Baratron Pressure Transducer Type 127 Used 1
MECS UTV430 Wafer Handling Robot Used Working 1
Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working 1
Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working 1
Kawasaki 50976-2143L01 Robot Cable 7.5 Foot Used Working 1
Tegal CR1324-00400 RF Match Network Source Strip 6500 HRe Used Working 1
Tegal CC1327-00300 EZ Reactor Assembly HRE-PM1 6500 HRe Used Working 1
Tegal 37-441-004 Cooling Flange 6500 HRe Dual Frequency Etch System Used Working 1
VAT 14046-PE44-1016 HV High Vacuum Gate Valve Tegal 6500 HRe Used Working 1
Edwards 1850 Mechanical Vacuum Throttle Valve ISO200 Tegal 6500 Used Working 1
MKS Instruments Straight Nipple Adapter LF200 ISO-K NW250 Large Flange HPS Used 2
Edwards W185-08-001 Mechanical Vacuum Throttle Valve 1850 ISO200 Tegal 6500 Used 1
Hamamatsu C11121CA-50 UV/VIS Spectrometer TM-UV/VIS Type III Hitachi M-712E Used 2
AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working 1
AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working 1
Ocean Optics USB4000 Spectrometer Slit 25µm Hitachi M-712E EC1 Used Working 1
Jobin Yvon H-1061 UV-V Monochromator E Chamber EPD Hitachi M-712E Used Working 2
Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-712E Etcher Used 1
Hitachi PTPA-01 ECI Photo Board PCB Assembly M-712E Shallow Trench Etcher Used 2
Hitachi CR-712T-AC Wafer Transfer Clean Robot Shunan Works M-712E Etcher As-Is 1
AMAT Applied Materials 0040-86239 Housing 300mm Titan New Surplus 1
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working 1
Hitachi UHF-121 EC2 RF Power Supply Cooling Water Control Panel M-712E Used 1
Kyoto Denkiki KDS-30350WFX Dual Output DC Power Supply Hitachi 3-A20515-*A Used 10
Kyoto Denkiki KDS-30350SFX DC Power Supply Hitachi 3-A20515-*B MU-712E New 1
PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used 2
Electronic Solutions V809J1EC V809 VME J1 Backplane Board PCB 01-0009293 Used 1
Tegal 98-363-00 VME Backplane Board PCB CTC-ML Used Working 1
IPD International Power Devices RWD2415 DC/DC Converter Used Working 1
Tegal 98-354-002 CPU Transition Board VME PCB Card Used Working 1
Tegal 99-360-001 Processor Board VME PCB Card AOB-1 98-360-001 Used Working 1
Qualidyne 234AA0A-0172 Power Supply 23092EFG Tegal VME-PS400-01 Used Working 1
Motorola 5057301 LE Tester Board PCB Used Working 1
Pittman GM8223D098 38.2V Servo Motor LO-COG Reseller Lot of 2 Used Working 1
Brooks Automation 013077-054-20 300mm Load Port FIXLOAD 25 Used Working 2
Lam Research E4A N2 Purge Unit Horiba SEC-N142MGR for TDK TAS300 Type E4/E4A New 2
TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working 3
TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working 5
SMC ZSE30-01-25-M kPa Pressure Switch Reseller Lot of 32 Used Working 1
Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Endura Used 1
Newport 35-3700-1425-18 Wafer Transfer Robot AMAT Applied Materials 300mm Endura 1
Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT Applied Materials Endura 1
Kensington 8-4029-03 Robot Waist Interface Cable 3 Foot 1M Newport Used Working 1
Kensington 8-4030-03 Robot Arm Interface Cable 3 Foot 1M Newport Used Working 1
Kensington 8-1013-07 Robot Z-Axis Interface Cable 3 Foot 1M Newport Used Working 1
Kensington 8-1013-00 Robot Z-Axis Interface Cable 8 Foot 2.4M Newport Used 1
Kensington 8-4030-00 Robot Arm Interface Cable 8 Foot 2.4M Newport Used Working 1
Kensington 8-4029-00 Robot Waist Interface Cable 8 Foot 2.4M Newport Used 1
Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-79582-28-00 Used 1
Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-88919-41-11 Used 1
Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used 2
Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used 1
Asyst 9700-9129-01 Wafer Load Port IsoPort Nikon KAB11310/201-3 Incomplete As-Is 1
Panasonic MADDT1207N52 AC Servo Motor Driver Used Working 8
Panasonic MCDDT3520N52 AC Servo Motor Driver Used Working 4
Panasonic MQMA022S2G AC Servo Motor with Sumitomo Gear Head FCMS-A15-SV-59 Used 2
Panasonic MQMA022S2C AC Servo Motor HD Gear Head CP-25A-33-J299A-SP Used Working 4
Panasonic MSMD082S1S AC Servo Motor Nidec-Shimpo Gear Reducer VRSF-4C-750 Used 4
Sunx S-LINK V Sensor Set Lot of 4 SL-VT8E SL-VTP8E Panasonic SL-VEU Used Working 1
Globe Motors 403A918 LP Shuttle Motor Brooks 129399 Reseller Lot of 2 Used 1
Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is 1
Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is 2
Edwards NRC970000 TPU Used Working Unlisted 1
Edwards Y50100000000 iATLAS New Unlisted 2
TEL Tokyo Electron AHV50 Cooling Plate 3D10-150035-V1 working 1
SBS Technologies 9000-32-029 SBC PCB Card AMAT Applied Materials Endura Used 1
AceCo Y203 Upper Electrode S33-1574Y new 3
AceCo Depo Shield Y203 S33-1862Y new 1
TEL Tokyo Electron ES3D10-202163-V1 300mm Depo Shield Refurbished 2
TDK TAS-IN8 Interface Board PCB TAS300 Used Working 1
TDK TAS-CNEXT Interface Board PCB TAS300 F1 Used Working 1
TEL Upper Body Electrode 3D10-100293-V1 new 2
TEL Depo Shutter Assy. Y-AL 3D10-101277-V2 new 1
TEL Depo Shutter Assy. Y-AL 3D10-101277-V2 new 1
Ebara ET600WS Turbo-Molecular Pump Used Tested Working 3
Hitachi Microwave Calibration Jig 2-A06112-^A new 2
Brooks Automation 134333 Sensor and LED IV Board PCB Rev. B Used Working 3
Hitachi Microwave Calibration Jig 2-A06112-^B new 4
TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working 1
Brooks Automation 173227 Interface Board PCB 140360 Vision LPM Load Port Spare 2
Condor 02-32117-0001 Power Supply GPC80P Rev. D 017-21226 Used Working 2
Cherokee International QT4A1 Power Supply Used Working 3
Lenze E94S040S1N Servo Drive 94 SimpleServo 1.20 Used Working 1
Opto 22 PB32P2 Relay Board PCB IDC5Q 8 MRC Materials Research Eclipse Star Used 4
TEL Tokyo Electron G260610 Lithius Cot Cabinet Used Working Unlisted 1
ECOSYS 203-10428-02 Guardian GS4 Used Working Unlisted 1
TEL Tokyo Electron 1000071322 Dual Block Used Working Unlisted 1
Nikon Temperature Control TC Rack N6F-A NSR-S307E DUV Scanning System Used 1
Nikon N6F-A Temperature Control TC Rack NSR-S307E DUV Scanning System (2) Used 1
Nikon S37 TC Rack Asahi Cogyosha Co. N6A-A DUV Scanning System Used Working 1
Kawasaki 30C61E-B003 Robot Controller AMAT 0190-17941 As-Is Unlisted 1
Brooks Automation 017-0483-01CUR Wafer Handling Robot Used Unlisted 1
Brooks Automation 003-1600-43  Magnatran 7 Wafer Handling Robot Used Working 1
Thermo Neslab 622023991801 Heat Exchanger DIMAX Used Unlisted 1
Chromasens LC16-WBI-BF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used 2
Chromasens CC-WBI-SV1 Machine Vision Module CC00596 KLA-Tencor WBI 300 Used 4
KLA-Tencor 11 301 404 123 000 WBI Controller Sensors Actuators CSA Unit Used 4
Chromasens CC00620 Machine Vision Set of 4 LC16-WBI-BF LC16-WBI-DF KLA WBI Used 3
TDK-Lambda V6098VF Power Supply Vega 650 Four Module H5_4 B5 B5 C5 Used Working 4
Oriental Motor ASM66AK Stepper Motor VEXTA Used Working 8
Colandis 12626 FFU Fan Filter Unit KLA-Tencor 11301406028000 WEI/WBI Used 4
Terra 1300062 Desktop Computer KLA-Tencor 11301400403000 Controller WBI 300 Used 2
TEL Tokyo Electron PTI Controller 1 Automated Exhaust System Set ACT12 Used 1
TEL Tokyo Electron PTI Controller 2 Automated Exhaust System Set ACT12 Used 1
Leutron Vision PicPortX CL Stereo PicPort Camera Link Grabber PCB Card Used 2
Simco 4010729 Ionizing Bar Controller VISION i Used Working 1
Copley Controls ACJ-090-09 Micro Panel Servo Drive Accelnet Used Working 4
Schmersal AZM 170-11zrk Safety Switch Reseller Lot of 2 Used Working 1
Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used 1
Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used 1
Asyst Technologies 9700-9129-01 300mm Wafer Load Port Used Working 1
Asyst Technologies 9701-1059-02A Load Port PCB IsoPort Used Working 1
Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used 1
Richmond Technology 4007440 IBC Intelligent Balance Controller IBC20-1 Used 1
Delta Design 1944602-501 PSM Power Summing Module Used Working 1
National Instruments 745749-01 Controller PXI-1002 Delta 1923725001 Used Working 1
Delta Design 1973060901 Summit Handler ATC Control Computer Copley CME 2 Used 1
Chromasens 940 992 Camera Board PCB Assembly SC-KA5-1/Z KLA-Tencor WBI 300 Used 4
Oriental Motor ASD36A-K Closed Loop Driver ASD-K AlphaStep Used Working 8
Chromasens CC-WBI-SA2 Interface PCB CC00228 KLA-Tencor WBI 300 Used Working 4
Chromasens CC-WBI-LV1 Interface PCB CC00581 KLA-Tencor WBI 300 Used Working 3
Hitachi 300mm Wafer Handling Ceramic End Effector 1-5 Set of 5 M-712E Used 3
Hitachi Ceramic End Effectors 1-5 300mm Wafer Set of 5 M-712E Used Working 1
Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As-Is 1
Control Concepts 1014R-05 SCR Power Controller with Cable Set Used Working 1
Baldor 92B645730000 Motor with Camco Indexer 80RDM4H20-330 Gear D99XX5164 Used 1
Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Summit ATC Used 1
NSK EMLZ10CF1-03 Servo Drive Motion Controller Used Working 1
Kollmorgen D101B-93-1210-014 PM Servomotor DDR AMAT Reflexion Spindle Motor Used 1
Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Dent to Rim Used 1
Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Discoloration Used 2
Tegal CE1323-10101 AC Distribution Unit 6500 HRe Dual Frequency Plasma Etch Used 1
Novellus Systems 16-270993-00 300mm Wafer Chuck Sabre PEM Copper Cu Exposed Used 1
CTI-Cryogenics Cryopump Helium Line 5' Set Supply 8081617 Return 8081-271 Used 1
AMAT Applied Materials 0620-00516 RF Cable Top Generator to Match 150 Foot Used 1
MDC Vacuum Products 310073 Pneumatic Angle Valve KAV-100 Used Working 1
MKS Instruments 627A-14583 Baratron Pressure Transducer Type 627 Used Working 1
Sanken Electric MLT-DCBOX5 Power Supply Assembly MMB50U-6 TEL Unity II Used 1
Yaskawa VS2B Robot & Controller Set DDMQF-SR2232IR w/Effector TEL Unity II Used 1
Yaskawa V0SH Prealigner Controller Set DDMQF-SR2231I PAC/ELC2 TEL Unity II Used 1
Oriental Motor 4054-LD4 5-Phase Servo Driver VEXTA PUSH PIN TEL Unity II Used 2
Tylan FC-2979MEP5 MFC Mass Flow Controller 100 SCCM CF4 TEL Unity II Used 2
Tylan General FC-2979MEP5 MFC Mass Flow Controller 1 SLPM Ar TEL Unity II Used 2
Tylan General FC-2979MEP5 MFC Mass Flow Controller 20 SCCM O2 TEL Unity II Used 2
Tylan FC-2979MEP5 MFC Mass Flow Controller 200 SCCM SF6 TEL Unity II Used 2
Tylan FC-2979MEP5 MFC Mass Flow Controller 50 SCCM CH2F2 TEL Unity II Used 2
Tylan FC-2979MEP5 MFC Mass Flow Controller 30 SCCM C4F8 TEL Unity II Used 2
Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK TEL Unity II Used Working 2
Yaskawa Electric YMW-2034 Servo Drive 4178-13 SERVOPACK TEL Unity II Used Working 2
Granville-Phillips 330001 Ionization Gauge Controller with Cable 9331 TEL Used 2
Sunx SF1-SC Fail-Safe Control Unit TEL Tokyo Electron Unity II Used Working 2
TEL Tokyo Electron ID86-004327-13 Floppy Drive Assembly TEB404-12/FDX Unity II 1
Oriental Motor B1583-D51KBLM Servo Motor VEXTA with 5GD20K-H1 TEL Unity II Used 2
MWD-25LD ENI MWD-25LD-02 RF Match and Controller Set RFC-6-01 TEL Unity II Used 1
VAT 26332-KA71-1001 Angle Isolation Valve with Sensor TEL 1D86-003796-12 Used 1
VAT 26332-KA71-1001 Pneumatic Angle Valve TEL Tokyo Electron Unity II Used 1
VAT 26332-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron Unity II Used 2
Irie Koken 1SV25M0 Manual Angle Valve NW25 TEL Tokyo Electron Unity II Used 2
Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch TEL ID86-003510-15 Used 2
MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 TEL Unity II Used 3
MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 TEL Unity II Used 1
MKS Instruments 627A-13614----S Baratron Pressure Transducer TEL Unity II Used 1
STEC SV-P1102 Pneumatic Flow Controller SV-P1000 TEL Unity II Used Working 1
STEC SV-P1203 Pneumatic Flow Controller SV-P1000 TEL Unity II Used Working 4
TEL Tokyo Electron 1D81-000118 Control Panel PCB with Cable Set Unity II Used 1
TEL Tokyo Electron System Maintenance Operator Panel Touch Screen Unity II Used 1
VAT 26332-KA71-1001 Angle Isolation Valve with Sensor TEL 1D86-003558-16 Used 1
Nagano Keiki CE10 Electronic Pressure Switch TEL ID86-004116-13 Unity II Used 1
Nagano Keiki CE10 Electronic Pressure Switch TEL ID86-004117-13 Unity II Used 1
Ojiden OFL-1V-S3 Switch Set of 2 ID86-014729-11 ID86-014730-11 TEL Unity II Used 1
TEL Tokyo Electron CSENS2 Laser Sensor Assembly Omron E3X-A11 Unity II Used 1
TEL Tokyo Electron CSENS1 Laser Sensor Assembly Omron E3X-A11 Unity II Used 1
TEL Tokyo Electron CSENS1 Laser Sensor LDH-03-3050 MLXS-D12-670-3 Unity II Used 1
TEL Tokyo Electron WFCNT2 Laser Sensor LDH-03-3051 MLXS-D12-670-3 Unity II Used 1
TEL Tokyo Electron SOLV. A 14-Port CKD Pneumatic Manifold U2-855DD Unity II Used 2
TEL Tokyo Electron SOLV. B 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used 2
TEL Tokyo Electron SOLV. C 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used 2
Cosel MMB50U-6 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 1
AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Used Working 1
Kromschroder 84391075 Ignition Transformer TGI 5-15/100R 8 433 138 2 Used 2
Kromschroder 84391075 Ignition Transformer TGI 5-15/100R 8 433 138 2 Used 5
Kromschroder 84391075 Ignition Transformer TGI 5-15/100R 8 433 138 2 Used 7
Edwards TPU Differential Pressure Switch Assembly Dungs AA-A2-6-5 Lot of 4 Used 1
Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used 4
Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used 2
Watlow WX6E2A300B0002 Aluminum Circulation Heater 120v 1500w Edwards TPU Used 2
Evans PWR-24PS-16UW-12UW Manifold with Gauges Edwards NRYOWP543 TPU Used Working 1
Norgren SPGB/35085/1 Pneumatic Manifold E28705037 Edwards TPU Used Working 2
Edwards Flexible Bellows Vacuum Hose 8.5" NW40 KF40 Reseller Lot of 4 TPU Used 1
National Display Systems 90X0212-B Touchscreen Monitor CM-X15/AMRMS Used Working 1
DIP DIP-394-055 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used 1
DIP DIP-344-040 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used 1
SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used 1
SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used 1
SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used 1
SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used 1
TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used 1
TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used 1
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working 1
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working 1
Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used 3
Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used 3
Chromasens LC16-WBI-DF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used 1
Fuji Seiki QF100 High Vacuum Band Style Clamp NW100 ISO-LF Turbo Pump Used 1
TEL Tokyo Electron 2910-205212-11 Coat Wafer Spin Chuck 12" ACT12 300mm Used 2
Tegal 99-395-002 CIP-2 CTC Board PCB Card 98-395-001 Used Working 1
Texas Microsystems 24652 SBC Single Board Computer LC486 PCB Card PCA L486 Used 1
Ametek 038936 Air Blower Assembly DR101BX9M Alcon UACD8 Edwards TPU Used 3
Nikuni 25CLX15U5 MLTC Centrifugal Pump 25CLX15U5 Motor Nikon NSR-S205C Used 1
Hitachi Kokusai TZBCXL-00034A Wafer Cassette Handling Robot 300mm DD-1203V Used 1
Oriental Motor A4753-9215KE 5-Phase Stepping Motor VEXTA Used Working 1
Panasonic MSM5AZP1E Compact Servo Motor MSM Series Used Working 1
Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A Used Working 1
Pearl Kogyo ZDK-916L2C-P Tuner Controller Hitachi M-712E Etcher Used Working 1
Pearl Kogyo ZDK-916L2 Tuner Controller Hitachi MU-712E Missing Switch Used 1
Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Used Working 1
Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Untested As-Is 1
Daihen CMC-ADP2 Microwave Tuning Control Interface Reseller Lot of 2 Used 1
Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working 1
Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working 1
Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working 1
Nikon 4S082-948-1 Pneumatic Valve Operation Control Module NSR Used Working 1
NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working 2
NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working 2
Asyst SMART PLUS 3.00.0.1.2 Axys 21 Robot Controller Computer PC Used Working 1
AMAT Applied Materials 9010-01378ITL Celerity BF3 MFC Gas Panel Assembly Used 1
AMAT Applied Materials 0020-48303 300mm Cleancoat Lower Shield Cu Refurbished 1
AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC New Surplus 1
Hitachi M-712E Wafer Transfer Robot Reseller Lot of 3 Sanki Untested As-Is 1
Sanki Technos CR-712T-A Wafer Transfer Clean Robot w/End Effector Hitachi Used 1
Sanki Technos CR-712T-A Wafer Transfer Clean Robot No End Effector Hitachi As-Is 1
Yaskawa XU-RCM2500T-4 Robot w/Ceramic Arms Nikon KAB11320/201A-4 OPTISTATION 7 3
Yaskawa XU-RCM2500T-4 Robot Nikon KAB11320/201A-4 No Arms OPTISTATION 7 Used 1
Bede Scientific Instruments MSOURCE Type A Microsource Assembly Untested As-Is 1
KLA-Tencor 0024476-001 Polarized Lens Pneumatic Linear Stage Rev. AA AIT Used 1
TEL Ring Insulator A7 (HF1.0) 1D05-300185-W2 new 1
KLA-Tencor 0024482-000 Dual Lens Assembly 0020209-000 0020210-000 AIT UV Used 1
Kokusai Electric Upper/Under Flange Temperature Controller J1-J6 DD-1203V Used 1
AMAT Applied Materials 0240-95833ITL Focus Clamping Box 0090-91422ITL As-Is 1
TBK RH700C Robot Hand Controller for RH707 Gripper Robot Used Working 1
Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Used Working 1
Kuroda SPCBUA2-20-40-Z​V Wafer Robot Body TEL 3D80-000008-V4 T-3044SS Used 4
Kuroda SPCBUA2-20-16-Z​V Wafer Robot Body TEL 3D80-000009-V4 T-3044SS Used 2
A to Z Electronics 3061420000 S2DIO Module SDI 30614210000 Used Working 1
System Sacom KS10PTHS P-Panel Data Converter Unit XRC KS-10PT HS Used Working 3
Therma-Wave 14-009901 Laser Detector Sensor PCB Module I MOD Used Working 1
Therma-Wave 14-009903 Laser Detector Sensor PCB Module R MOD Used Working 1
Therma-Wave 14-007811 A/F Detector Amplifier PCB Module 18-007564 Used Working 1
Therma-Wave 18-007561 TW Laser Detector Assembly PCB Module 14-00 Used Working 1
Therma-Wave 18-007560 Laser Detector Sensor PCB Module 14-007716 IHeNe Used 1
Therma-Wave 18-008113 Motorized Lens Turret Assembly Rev. A Used Working 1
MEC Tech Process Ring 30512-1001R new 2
MKS Technology AX7671-85 ASTex ASTRONi 0190-47768 Tested Working Unlisted 1
CTI-Cryogenics 8116030G001 On-Board 8F Cryopump 0190-76014 Tested Working Unlisted 1
CTI-Cryogenics 8116143G001 On-Board 8F Cryopump 0190-13371 Tested Working Unlisted 1
CTI-Cryogenics 0190-19390 On-Board 8F Cryopump Tested Working Unlisted 1
Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-079-1 NSR System Used Working 3
Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-081-1 NSR System Used Working 1
Therma-Wave 18-008925 Heater Power Supply Assembly Used Working 1
Edwards Helios Head Assembly Long Body Combustion Chamber Used Working 2
Hitachi Kokusai Electric QLM1-00159 Quick Load Box Pod Opener Controller Used 1
Hitachi Kokusai Electric QLM1-00193 Quick Load Box Pod Opener Controller Used 1
M.E.C. Tech RE2093 Process Plate Assembly Rev. 2 New 2
Hitachi Kokusai Electric QLM1-00170 Quick Load Box Pod Opener Controller Used 1
Granville-Phillips 275806-EU 275 Mini-Convectron Vacuum Gauge Rev. 00 Used Working 1
Pioneer Magnetics 114357 Power Supply PM 2972A-2-3 Lam 680-0146-029 Used Working 15
FMI 930V3 Electrostatic Chuck 300mm Monopolar Ceramic TEL-930V3-R Cu Refurbished 1
Nikon 4S586-952-1 Switch Box WY_LSW WYL NSR System Used Working 1
Shinko Electric ATA-IDE/R(IDEV2.0) PCB Card MASTER-ATA IDE TEL T-3044SS Used 1
Veeco 460-548 Profilometer Interferometer Camera Head Assembly WYKO Dektak Used 1
Brooks Automation 002-7391-38 Wafer Prealigner CHE Untested As-Is 1
TEL Shield Depo D3.0 P34.6 ES3D10-101276-V1 refurb 1
Meiden IZ47Z-11 SBC Single Board Computer PCB Card IZ48Z SU22A31929 Used Working 1
Edwards D37212202 Flash Control Module PCB im Interface 801-1047-01 Used Working 1
Meiden JZ85Z-11 Serial Data Acquisition Board PCB Card NOP OM-2 SU18A30127 Used 1
Meiden ZN71A-01 Backplane Board PCB Card SU18A30160 NOP OM-2 µPIBOC-I Used 1
DIP DIP-505-030 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used 2
AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working 1
Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is 1
Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is 8
Brooks Automation TS310A-D511S Indexer Handling Unit Robot Incomplete As-Is 2
Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used 1
Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used 1
Ametek 080418 Air Blower Assembly DR303AE9MA Alcon NACD8 Edwards TPU Used 1
Eclipse LP64-20 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used Working 3
Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B 40R Edwards TPU Used 1
Sanyo Denki P50B05020DCL69 AC Servo Motor BL Super P5 Used Working 1
Ametek 037147 Air Blower Assembly DR353BR9 Alcon NACD8 Edwards TPU Used 1
MKS Instruments Exhaust Valve Control Stack Type 260 252 PDR-C-1C Untested As-Is 1
Trane X13650364-03 Chiller Contol PCB Module 6200-0023-10 6400-0557-02 Used 1
Advanced Crystal Sciences 6506 Flow Rate Controller Used Working 1
KoMiCo CHN 300mm Cu Baffle Plate Top Copper Refurbished 2
Tosoh 100-00528 Quartz Pedestal (214) Sleeve Rev. F2 New Surplus 1
Mykrolis PVA0AMMR4 AMAT Mirra Mesa 200mm Round 70/216 Planacore Lot of 4 New 1
Hisaka Works AD07N Double Acting Actuator with G45B-AD07N SCS14A-NW40-25A Used 1
BTU Engineering 316195VO5 System Microcontroller PCB Card 3161950 Used Working 1
BTU Engineering 3162281V02 Communication Interface II PCB Card 3162280 Used 1
BTU Engineering 3161161 System I/O Assembly PCB Card 3162280 Used Working 1
Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU Used 1
Cryco 100111 Wafer Loader Operator Interface PCB Assembly 03601-95 No Key Used 1
Cryco 100111 Wafer Loader Operator Interface PCB Assembly No Key Used Working 1
Industrial Control Links 100109 Boatloader Motor Driver PCB Module Cryco Used 1
TEL Tokyo Electron 2980-091523-12 WEE I/O PCB AP9E-0508A-11 TKB3170 ACT12-200 1
TEL Tokyo Electron Fiber Optic Cable 851 WEE Wafer Edge Exposure Used Working 1
Bruce Technologies 7351C Operator Panel FRT PNL Interconnect 3161211 BTU Used 1
Industrial Control Links 100109 Boatloader Motor Driver PCB Rev. B Cryco Used 1
BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200 Used Working 1
BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working 1
Kokusai Electric D2E01448 B #1 TIME/PLD Processor Board SAFETY PCB Card Used 1
Kokusai Electric D2E01448 B #2 TIME/PLD Processor Board SAFETY PCB Card Used 1
Kokusai Electric D2E01522 Interface Panel Backplane PCB INT-CNBA Used Working 1
HiTek Power E19297950 High Voltage Power Supply MV2000-151-01 Untested As-Is 1
Daifuku LNK-3585A Processor Board PCB Card BX8461AW Used Working 1
Daifuku BCN-3746B Connector Board PCB Card Omron H3FA-A Solid-State Timer Used 1
Formosa Industrial Computing R507-06I0C-200 Backplane Board PCB BPI-06 Used 1
Daifuku LED-3695A LED Display and Connector Board PCB Card Used Working 1
Kontron 56100000 SBC Single Board Computer PCB Card 786LCD/ST Daifuku CEL-M10A 1
Daifuku PS2681-02 Power Distribution Connector Board 2681P1A PCB Used Working 1
Contec PC-SDD500H SDD Silicon Disk Drive IDE Assembly Daifuku M10A.7.10.19 Used 1
Krom Schroder 84367720 Burner Control Unit IFS 110IMT-10/2/2N Edwards TPU Used 1
Hitachi Kokusai Denki 3CD02390 DC/DC Board PCB MTRCPUPS Mikro Sonic Used 5
Hitachi Kokusai Denki 3CD02411 Resistor Board PCB PA Mikro Sonic Used Working 5
Hitachi Kokusai Denki 2CD013310 Capacitor Board PCB FiL(1/3) Mikro Sonic Used 5
Hitachi Kokusai Denki 3CD02380 CPU Board PCB Assembly PMTRCPU Mikro Sonic Used 3
Hitachi Kokusai Denki 3CD02380 CPU Processor Board PCB PMTRCPU Mikro Sonic Used 1
Hitachi Kokusai Denki 3CD011210 Adjustment Board PCB OSC Mikro Sonic Used 2
Hitachi Kokusai Denki 3CD02164 Relay Board PCB CONT2 Mikro Sonic Used Working 5
Hitachi Kokusai Denki 3CD02233 Adjustment Board PCB P_MASTER Mikro Sonic Used 3
Hitachi Kokusai Denki 4CD01061 Relay Board PCB CONT Mikro Sonic Used Working 5
Hitachi Kokusai Denki 3CD02164 Connector Board PCB D-SUB Mikro Sonic Used 5
Hitachi Kokusai Denki 3CD01876 Resistor Board PCB OUT Mikro Sonic Used Working 5
Hitachi Kokusai Denki U01200PMQA Display Panel PCB 3CD1062 Mikro Sonic Used 3
Hitachi Kokusai Denki 4CD01063 Dual Mechanical Dial Counter PCB Mikro Sonic Used 1
Hitachi Kokusai Denki 3CD1062 Voltage/Current Display PCB Mikro Sonic U01200PMQA 1
Hitachi Kokusai Denki 3DC01582-1 Adjustment Board PCB PS Mikro Sonic Used 4
Hitachi Kokusai Denki 3CD02421 Electrolytic Capacitor Board PCB DRIV Mikro Sonic 5
Nikon 4S586-951-1 Switch Box WX_SW NSR System Used Working 1
Mydax M1010D Flowmeter Interface/Relay Board PCB Chiller 1M9W-T Used Working 1
TDK 3EA00E182/A Interface Relay Board PCB hi-pric with Cables Used Working 1
Pilz 474790 E-Stop Safety Relay PNOZ V PNOZ Series Used Working 1
Lambda Electronics UAZ1JP 400 Watt Power Supply UltraFlex Series Used Working 1
Automation Direct D2-04BDC-1 4 Slot PLC Controller DirectLOGIC 205 Koyo Used 1
AMAT Applied Materials 0040-09961 200mm ESC Chuck 0020-32963 0040-09957 As-Is 2
AMAT Applied Materials 0020-04277 Flange Insulating Taper 81-57228R Refurbished 1
Tokyo Keiso P-510-UA-4N-V3-N2-100L/MIN-0.1MPA Purgemeter Flow Meter New Surplus 1
Nor-Cal Products 3T-NW-50B Tee Fitting NW50 60-159172-00 Reseller Lot of 3 New 1
Nor-Cal Products 93-01764 Pneumatic Angle In-Line NW50 Used Working 1
Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Refurbished 2
Edwards C5164 High Vacuum Adapter Tube Tee ISO63 to ISO80 ISO-K NW25 Refurbished 1
Edwards C10517670 Vacuum Flexible Bellows NW50 Reseller Lot of 4 Refurbished 1
Edwards C10517670 High Vacuum Flexible Bellows Stainless NW50 New Surplus 1
Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 Refurbished 2
AMAT Applied Materials 0050-75273 SS Plumbing Line 0050-75274 0050-75275 Used 1
EKK Technologies M-EKK-2419105 Magnetic Fluid Vacuum Seal A4823-9015KTGE New 2
Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00 Untested 1
MKS Instruments 0190-43490 PLC Assembly CVD CIOC AMAT Applied Materials Used 1
Tosoh 83600-14056-60000V J8R3 Target 300mm 6N Keyed New Surplus 1
Edwards NRY28B050 CVD Pump Heated N2 Partial Kit Y14102001 New Surplus 1
Rorze Automation RT130-521-001 X-Axis Robot Linear Track Used Working 1
Rorze Automation CURT-2102-4 Robot Linear Track Controller with Cables Used 1
Technos X-Ray Analyzer Command Key Controller Panel with Cable Used Working 1
Technos X-Ray Analyzer Command Key Console Controller Panel with Cable Used 1
Asyst Technologies 4002-6633-01 Load Port Door Assembly PCB 3200-1251-03 Used 1
AMAT Applied Materials 0010-29842 300mm DBR High Temp Belt Wrist Titanium Used 1
Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used 2
Brooks Automation 812100090 Communication Board PCB 013501-185-I1 AEZ01 Used 3
Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used 1
Dynax DNX5171 Processor Assembly PCB F104-CPU F104-IO/1 DNX5191 w/Cables Used 1
Oriental Motor C8741-9212KM Stepping Motor Magnetic Brake VEXTA Used Working 1
Nor-Cal 22-2843 Adaptive Pressure Controller Intellisys APC-001-B.1-01 Used 2
Nor-Cal 22-2843 Adaptive Pressure Controller Intellisys APC-001-B.1-01 Used 1
Varian Semiconductor Equipment E11326001 D1/D2 PS Controller VIIsta Used Working 1
TDK TAS-CPU Processor Board PCB Rev. 2.10 TAS300 Load Port Used Working 1
Bay 8287-03 Lift Motor Assembly Novellus 02-160858-00 Compact 60-152879-00 Used 1
Rudolph Technologies A19796-001 UV Optics Assembly HC302-2510 A15032 Used 1
CFT-75 Neslab 395104041507 Refrigerated Recirculator Bent Panels Tested Working 1
Rhenium Alloys 16-143143 D01 Alloys Quartz Infrared Halogen Lamp 480W ASM New 6
TRIVAC D16A Leybold 898030 Rotary Vane Vacuum Pump Tested Seized As-Is 1
TRIVAC D16B Leybold 91265-2 Rotary Vane Vacuum Pump Used Tested Working 1
AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev B 4
AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working 1
AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev C 1
AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Used 1
Baldor FD2A05TR-RN23 Servo Control FlexDrive 105A-230/24V-RES-23 Used Working 1
NSK ESA-B014T25-21 Servo Drive Motion Controller Used Working 1
NSK ESA-B014T25-21.1 Servo Drive Motion Controller Used Working 1
Carl Zeiss 44 44 62 DIC Slider Microscope Objective Epi PLANAPO 50x/0.90 Used 1
Carl Zeiss 44 44 89 DIC Slider Microscope Objective Epi PLANAPO 100x/0.95 Used 1
Power-One LWN 2660-6 AC-DC/DC-DC Converter Convert Select 240 Used Working 1
KLA-Tencor Pneumatic Vacuum Control Unit Omega FMA-1605A Quantox XP Used Working 2
PCP Peninsula Control Panels 51-0265 Power Supply Rev. 0C KLA-Tencor Quantox 1
CTI-Cryogenics 8116143G001 On-Board 8F Cryopump Tested Working Unlisted 1
Invax Technologies 02425-011 Electrostatic Chuck 300mm PVD Upgrade New Surplus 2
TEL Tokyo Electron 200mm Cup Wash Disc ACT12 Used Working 1
PTI Progressive Technologies 12612G01 Exhaust Sentry 1000 Damaged Used As-Is 1
Thermo Neslab HX75 Chiller BOM 386105021704 Not Working 1
AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working 9
AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working 1
AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working 1
Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 1
Nikon Interferometer Board LCLIUIF Working 4S018-168 Nikon NSR-S204B 1
Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working 1
Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working 1
PCP Peninsula Control Panels 51-0265 Power Supply Rev. C KLA-Tencor Quantox 1
PCP Peninsula Control Panels 28-0196 Power Supply KLA-Tencor Quantox Used 2
Nihon Koshuha HFS-010A-H-3 EC2 Antenna Bias RF P/S Generator Hitachi M-712E 1
Alcatel 2012AC Dual Stage Rotary Vacuum Pump 1091045400 No Tag Tested Working 1
Alcatel 2012AC Dual Stage Rotary Vacuum Pump 1101101416 Used Tested Working 1
Fortrend F8025 Automatic Wafer Transfer Machine Used Tested Working 1
Oriental Motor CSD2109-P Compact 2-Phase Driver Board PCB VEXTA Used Working 1
CTI-Cryogenics 0190-19395 IS-1000 Compressor HV AMAT Applied Materials Tested 2
Kokusai Electric D21806 Brake Interface CVD Board PCB Assembly Vertron Used 1
Kokusai Electric D2E01444 Interface Panel PCB CNNT14-A0 DMCU8 00-10 Vertron Used 1
Kokusai Electric D3E01373C Processor PCB Card DMCU8 PLMDRV7/A3 Vertron Used 1
Opal PCB Control Card Assembly 30612510000 SBC VMIVME-7588-787 AMAT VeraSEM 1
Opal PCB Control Card Assembly 50312510000 SBC VMIVME-7588-787 AMAT VeraSEM 3
Mitsubishi P8TB-TE Industrial Robot Teaching Pendant BKO-FA0517H01 Spare 1
BL Autotec QC-20C-S44 Robot End-Effector Exchange System QUICK-CHANGE QC-20C 2
BL Autotec QC-20C-S44 Robot End-Effector Exchange System with Rail Guide QC-20C 1
Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Inspection System Untested 1
KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21531 Spare 1
KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21541 Spare 1
KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21551 Spare 1
KV Automation 4022.486.18102 Vacuum Output Sensor Board PCB VAC TSU 2W Spare 2
KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare 1
KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare 1
KV Automation 4022.486.18121 High Flow Cleaning Board PCB 2W 322A5302 Spare 1
KV Automation 4022.480.62792 Sensor Board PCB  AIRB.CTR VAC TSU WH AT Spare 1
KV Automation 4022.480.62852 Gas Sensor Board PCB AIRB.CTR GAS TSU WH AT Spare 1
KV Automation 4022.486.21531 Gas TSU Manifold Assembly 4022.480.62841 Spare 1
KV Automation 4022.486.21541 Vac TSU Manifold Assembly 4022.480.62701 Spare 1
Chuo Precision Industrial X-Axis Manual Stage Assembly LS-112W LS-912W LV-612 1
KV Automation 4022.486.21551 WH-C/F Manifold Assembly 4022.480.62701 Spare 1
Panasonic MSD023A1Y Servo Driver Unit PCB Card 200V 200W Working Spare 1
Panasonic MSD5AZA1Y Servo Driver Unit PCB Card 100/200V 50W Working Spare 1
Panasonic MSD3AZA1Y Servo Driver Unit PCB Card 100/200V 30W Working Spare 1
TEL Tokyo Electron RL06EX-2 Relay Board PCB ACT12 System Working Spare 1
Sierra Safety Technology DCR1-S 1 Optical Flame Detector DNS FC-3000 Spare 1
Digiop S0608010250T 8-Channel DVR Workstation Optiplex 745 DNS FC-3000 Spare 1
Electroglas 253255-001-C Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is 1
Electroglas 253255-001-B Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is 1
Electroglas PSM-C Power Supply Module with PDS 4085x Horizon 200mm Working Spare 2
Edwards D37280700 Pump Display Module Terminal Controller Damaged As-Is 1
Global Laser Technology Solutions 24744-6 Wafer Positioning System 2130-00 Spare 1
HD Hokuto Denko HR-201 Dynamic Electrode Assembly Untested As-Is 1
HD Hokuto Denko HZ-CPU101-27 CPU Processor Board PCB Card HZ-3000 Working Spare 1
HD Hokuto Denko HZ-FG101-31 HZ-FG Processor Board PCB Card HZ-3000 Working Spare 1
HD Hokuto Denko HZ-PGS101B32 Processor Board PCB Card HZ-PGS101C23 HZ-3000 Spare 1
HD Hokuto Denko HZ-PGS101A3 CPU Processor Board PCB Card HZ-3000 Working Spare 1
HD Hokuto Denko HABF501-A2 Heat Dispenser Board PCB HZ-3000 Working Spare 1
HD Hokuto Denko HZ-BASE1018 Backplane Connector Board PCB HZ-3000 Working Spare 1
Cosel G Series Power Supply GT3W and G2 5V2A Reseller Lot of 2 Working Spares 1
HD Hokuto Denko 230130 AS-200 Main CPU Board PCB Working Spare 1
HD Hokuto Denko 230131 AS-200 Sampler I/O Board PCB Working Spare 1
HD Hokuto Denko D230330 Motor Control Board AS-200 PCB Working Spare 1
HD Hokuto Denko D232870 Station Change Board AS-200 PCB Working Spare 1
HD Hokuto Denko D850536 Operator Interface Keypad LCD Panel PCB Assembly AS-200 1
HD Hokuto Denko 230132 AS-200 Switch Board Keypad PCB Assembly Used Spare 1
HD Hokuto Denko C276120 Sample Port COM555 MAIN PCB Assembly D232880 AS-200 Used 1
HD Hokuto Denko D230133 DC Connect Board PCB D232502 AS-200 Used Spare 1
Densi-Lambda ZWS Series Open Frame Power Supply Lot of 2 ZWS50-15/J ZWS30-5/J 1
Densi-Lambda ZWS75PF-12 Open Frame Power Supply ZWS Series Reseller Lot of 2 1
Ampere M-8014TE-C90196A Communication Board PCB CAT6 Hokuto Denko AS-200 Spare 1
Ampere M-8014TE-C90196A Communication Board PCB RS232 Hokuto Denko AS-200 Spare 1
HD Hokuto Denko C230450 MP Drive Board PCB C233280 AS-200 Working Spare 2
Dolch Computer Systems 21-0E01-0030 ISA Video PCB Card 22-1001-0150 Spare 1
SVG Silicon Valley Group 99-80203-01 RS422 Communications PCB Rev. N 90S Spare 1
Texas Microsystems 23374 Greyhound1 SBC Single Board Computer PCB Card SVG 90S 1
HD Hokuto Denko B-200B Buret Sampler 5ml Assembly PCB C232890 AS-200 Working 5
HD Hokuto Denko Buret Micro Pump Assembly Denso HP480FAE AVR-2312-318E AS-200 6
DNS Dainippon Screen LPD Heater Overheat Detection Module FC-3000 Working Spare 1
DNS Dainippon Screen TANK#3 Phos Temperature Controller Cooling Tank FC-3000 1
DNS Dainippon Screen TANK#4 Hot Rinse (H202) Temperature Controller Bath FC-3000 1
Kokusai Electric CX1231 Controller Module Rev. 1B Vertron III DD-803V Spare 1
DNS Dainippon Screen CNCC Power Supply Switch Module FC-3000 Working Spare 1
DNS Dainippon Screen TANK#3 Phos Lamp Heater Controller FC-3000 Working Spare 1
DNS Dainippon Screen TANK#3 Phos Crystal Heater Controller FC-3000 Working Spare 1
DNS Dainippon Screen TANK#5 Phos Lamp Heater Controller FC-3000 Working Spare 1
DNS Dainippon Screen TANK#5 Phos Crystal Heater Controller FC-3000 Working Spare 1
DNS Dainippon Screen MFI PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare 1
DNS Dainippon Screen MEK PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare 1
Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working 2
Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Working Spare 8
Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Lot of 4 Spare 1
SVG Silicon Valley Group 99-45452-01 Controller Exhaust Flow 99-45982-01 Spare 1
Fuji Seiki 1092801 Pneumatic Throttle Valve NW100 ISO-LF QF100 Working Spare 1
Det-Tronics W867C3004 Ultraviolet UV Infrared IR Test Lamp W867 Untested As-Is 1
IDI Integrated Designs 2-102-037 Chemical Assist Module Double Stack CAM-E-2S 2
IDI Integrated Designs CAM-E-2S Photoresist Chemical Assist Module Working Spare 2
IDI Integrated Designs 2-102-037 Chemical Assist Module CAM-E-2S Working Spare 4
Grayhill 70GRCK8-HL 8-Channel I/O Module Relay Rack 70G-IDC5B Assembly Spare 1
Millipore 99-41122-01 Stainless Steel Photoresist Solvent Filter Housing Spare 2
CTI-Cryogenics 8033167 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is 3
CTI-Cryogenics 8033168 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is 1
KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working 5
KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working 1
AMAT XR80 Implanter Magnet Controller 9090-00086 ITL 1
Ultrapointe 001003T Fast Z Controller PCB Board Rev.A CRS AB1 2000 Used Working 2
Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-ABI-2000 Used 2
AMAT XR80 Implant Gap Servo Controller  9090-00097 ITL 1
Nikon NSR S204B RL-LIBHT2 PCB 4S018-679 working 3
Nikon NSR S204B 4S007-609 PPD-EXBODY PCB Board Working 3
Nikon NSR S204B 4S007-855 WL3CR PCB Board Working 5
Nikon NSR S204B 4S018-568-A WL3PNL4 PCB Board Working 5
Nikon NSR S204B Yaskawa XU-DL1600W30 Driver Board Working 2
Nikon NSR S204B 4S018-169 ALG-INF PCB Interface Controller Board Working 2
Nikon NSR S204B 4S005-204 PPD-LD PCB Board Working 2
Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working 2
Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working 1
Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working 6
Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working 1
Nikon NSR S204B Asahi 4S014-160A D2810 Linear Pulsemotor Cont. Board Working 4
Nikon NSR S204B 4S007-876 SHRINC-INTF-3 Interface Controller Board Working 1
Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working 2
Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working 1
Nikon NSR S204B 4S007-946 OPD-I/F-S PCB Board Working 4
Nikon NSR S204B 4S007-580 PPD-A/D PCB Board Working 1
Nikon NSR S204B 4S018-531-1 WL3MTR-H6 PCB Board Working 4
Nikon NSR S204B RIGG 2214 SDP Video Grabber 001052 Rev.B PCB Board working 1
Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working 1
Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working 4
Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working 2
Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working 1
Airpot Actuator S160P 455 X 550 KLA-Tencor CRS-ABI 2000 Working 1
GSI Laser Module 000-3008528 KLA-Tencor CRS-ABI 2000 000-30011003 Used 1
Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working 1
Nikon 4K177-955-1 Stepper Transfer Robot NSR-S204B Working 1
Nikon Stepper Controller Z-X3-B4102RU Nikon NSR S204B Working 1
Nikon Power Controller RRW-07 Nikon NSR S204B Working 4S587-244 3
Nikon NSR S204B Robot Elevator Module Working 2
Nikon NSR S204B Robot Elevator Module Working 1
Nikon NSR S204B Wafer Mask Reticle Indexer Working 1
Mitutoyo ST320 Linear Scale Assembly Nikon NSR S204B Working BLECX3 4S013-313 1
KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 000056 Rev. 2 Working 3
KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 002001 Working 237500-412 1
Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B 2
Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B 1
Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B 2
Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B 1
Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092 2
Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092 1
Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used 2
Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used 1
Nikon NSR S204B Matrox Meteor II Multi-Channel 751-02 Rev.A PCB Board working 1
Nikon NSR S204B Equinox Multiport Serial I/O Adapter SST-4/8P PCB Board working 1
KLA-Tencor CRS-ABI 2000 Ultrapointe Corp. SDP Computer I/F Board 001022 working 1
Nikon NSR S204B Wafer Loader Indexer working 2
Nikon NSR S204B Wafer Loader Indexer working 2
Nikon NSR S204B SEA241A 4S587-625 AVIS2 ERG AMP Working 1
Brooks Automation TLG-L1-1000-S0-00EB Transponder LF80 Set with ANT-2K15 Spare 1
Cosel LEP240F-48 U Switching AC/DC Power Supply Reseller Lot of 3 Working Spare 1
Globe Motors 403A918 LP Shuttle Load Port Motor Assembly Brooks 129399 Spare 3
Globe Motors 537A551 LP Main Load Port Motor Assembly Brooks 6200081 Spare 3
Ametek GM8223D294-R4 LP Latchkey Motor Assembly ES-500-157-N-D-D-D-B Spare 2
Haydon E43H4B-2.33-013 LP Main-J12 Linear Actuator Reseller Lot of 2 Working 1
Haydon LR35HH4J-2.33-012 LP Shuttle-J1 Stepper Linear Actuator Assembly Working 3
VAT 96603-01 Pendulum Plate 012-009857-1 Series 650 Copper Cu Exposed Spare 1
Brooks Automation 148299 Shuttle IV Board PCB Vision LPM Load Port Working Spare 3
Brooks Automation 134335 Keylatch IV PCB with 173227 Vision LPM Load Port Spare 1
Techprint 1-603680 LED Board PCB Brooks 112749 Load Port VISION Working Surplus 1
VAT 65048-PH52-ADU1 Pendulum Control & Isolation Gate Valve 93376 Working Spare 1
SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 Working Spare 3
VAT 02010-BH44-AKG1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare 1
VAT 02110-BA44-AUM1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare 3
VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare 5
VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer Valve No Solenoid 2
VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked 3
VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Large Gate 1
HVA High Vacuum Apparatus 82219-0209QXH Pneumatic Wafer Transfer Valve Spare 1
VAT MONOVAT Stainless Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked Spare 3
SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 No Gate Spare 1
Asyst Shinko VHT5-1-1 OHV Overhead Vehicle FOUP Transport Drive Assembly Spare 1
TEL Tokyo Electron 2L81-050066-32 Power Supply PCB Card TYB512-3/IOMT-LF Spare 4
TEL Tokyo Electron 3D81-000065-V1 Interface Board PCB Card TYB61L-1/MAGGAP Spare 2
TEL Tokyo Electron 2L81-050133-V1 Timer Board PCB TAB35F-1/HTIL Working Spare 5
Luxtron 11-14259-01 Thermo Board PCB TEL Tokyo Electron 2L51-000013-V1 Spare 12
Luxtron 11-14259-01 Thermo Board PCB TEL Tokyo Electron 2L51-000004-V1 Spare 2
RKC Instruments THV-1PZ030-8*HN-9 Single Phase Power Control Unit Working Spare 21
Yaskawa Electric SGDM-02ADA 200V Servo Drive SERVOPACK Ver. 0900F Working Spare 8
RKC 2L14-000007-V1 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN 4
RKC 2L14-000004-V2 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN 2
Mitutoyo PSU15 Power Supply Unit No Hatch Cover Working Spare 1
KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21581 Spare 1
KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21571 Spare 1
KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working 2
KV Automation 4022.486.21571 Pneumatic Manifold Unit UH-P5 Working Spare 1
KV Automation 4022.480.62671 Pneumatic Manifold Unit WH GRP WS-1/RS1 Working 1
KV Automation 4022.480.62732 Pneumaseal Pressure Control Unit WH PU Working 1
Optonics 10-101930-00 Main Controller Board PCB ES-II PLUS Working Spare 1
Credence Systems 10-107246-00 ES Install Shunt Board PCB Optonics Working 1
Optonics 20-101359-00 LED Status Board PCB ES-II Credence Systems Working Spare 1
Nikon NSR S204B Yaskawa CLSR-4590-N2CD Linear Motor Controller working 1
Ohkura Electric GS2020A1NZ1 Thyristor GS2000A Series Working Spare 1
Tokyo Keiso SFC-M 4-Channel Flowmeter Signal Converter TEL Lithius Working Spare 1
TEL Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare 1
AMAT Applied Materials 9090-01168ITL Chuck Power Supply PX32J Rev. C Spare 1
AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X No Batteries 1
Axcelis Technologies 697221 Power Supply GEMINI Working Spare 1
Yaskawa XU-DV0804V Linear Motor Controller working Nikon NSR S204B 1
Digi (1P)50001008-01 D SCSI Terminal Server STS 1008 Working Surplus 1
Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Untested As-Is 1
ICT 4916171 PMT+PRE AMP In-Lens Detector AMAT Applied Materials SemVision cX 4
Plasma-Therm 4480159501 THNTD PCB Board PCB Rev. D Clusterlock 7000 Working 1
Oriental Motor BXM6400-B1 Brushless DC Motor with Gear Head 6GH5K-D1 Spare 1
ASML 4022.470.4321 Interface Module QPAB SVG Silicon Valley Group Working Spare 1
Sunx SF1-A16 Light Curtain Emitter and Receiver Set SF1-A Series Working Spare 2
Hermos TLG-I1-1000-S0-00-EB Transponder Set Antenna ANT-ID2-INFIXR6M No Cover 15
AMAT Applied Materials 0190-24484 Transponder Set with Antenna 0190-10813 Spare 14
Brooks Automation TLG-I2-AMAT-R1 Transponder Set with AMAT Antenna 0190-10813 5
Yaskawa XU-DL1910 Motor Controller Nikon NSR S204B working 2
AMAT Applied Materials 0190-22570 Transponder Set with Brooks Antenna ANT-2K15 5
Brooks Automation TLG-I2-AMAT-R1 Transponder Set with Antenna ANT-2K15 Spare 4
Hermos TLG-I1-AMAT-R1 Transponder Reader with Brooks Antenna ANT-2K15 Spare 4
Hermos TLG-I1-AMAT-R1 Transponder Reader with Antenna ANT-2K15 Working Spare 5
Hermos TLG-I1-AMAT-R1 Transponder Reader ASC-I1 TLG-RS232 Working Spare 2
Varian 013-4037-1 Interferometer 13-3948 KBr Beamsplitter Assembly Untested 1
RKC Instruments 6-39-04458 Resistance Thermometer AWPFA RBS-8244-5 Lot of 2 New 4
Nihon Koshuha HFS-010A-H-2 EC2 Antenna Bias RF P/S Generator Hitachi M-712E 1
AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC Working Spare 1
AMAT Applied Materials 0040-03612 Body Assembly Copper Cu Exposed Refurbished 1
Sony DPR-LS23 Control Board 4S019-117 Working 1-681-861-12 Nikon NSR-S204B 7
AMAT Applied Materials 0021-15605 300mm Upper Exhaust Shield Copper Refurbished 1
AMAT Applied Materials 0190-22570 Transponder with Antenna 0190-10813 Spare 1
Novellus Systems 02-107939-00 Industrial Computer PA7800 COMP P166/64 24V Spare 1
Hamamatsu Photonics C8849-13 Power Supply for Zenon and Mercury-Zenon Lamp Spare 2
Shimadzu EI-R04M (T1) Turbomolecular Pump Controller TMP Turbo 2L11-000004-V1 2
Shimadzu EI-D3403M (T1) Turbomolecular Pump Controller TMP Turbo 2L80-000684-V1 2
Edwards D37237000 Vacuum Pump Display Terminal Controller with D37207602 1
IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 66230 Hrs. Tested Working 1
IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 44136 Hrs. Tested Working 1
Galil Motion Control DMC-8240-F 4 Axis Female PCB Card Equipe 2002-0120 Working 1
Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033 2
Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033 1
Equipe Technologies 2002-0142-022 Robot I/O Control Board PCB Card Spare 1
Rudolph Technologies 300mm F30 Advanced Macro Defect Inspection System Working 1
Shinko Electric BX80-070280-11 Robot SBX92100217 TEL T-3044SS Missing Arm As-Is 1
Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with Missing Arms As-Is 1
Sinfonia BX80-000180-V1 Dual Arm Wafer Handling Robot with Missing Covers As-Is 1
Mitsubishi RV-E14NHC-SA06 Robot Set with Controller CR-E356-S06 and End Effector 2
Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A 2
Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A 1
Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working 6
Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working 5
Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 2
Nikon BD Plan 10 0.25 Microscope Objective 210/0 OPTISTATION 3 Used Working 4
Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working 1
Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working 5
Nikon NSR S204B LDV Tank Assembly working 3
Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used 2
Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used 5
Cognex Visioncard VME Board 3281-000124-1 Working TEL P-8 2
TEL ACT 12 300mm Rinse Bath CT2910-304205-11 working 4
TEL ACT 12 300mm Motor Flange Assembly CT2985-490048-W8 MTR. FLANGE ASSY working 5
TEL Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working 1
TEL Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working 1
TEL Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working 1
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 2
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 2
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 5
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
TEL ACT12 300mm 2910-205326-11 Base Under Cup 2910-205327-11 Upper Cup Base 4
TEL ACT 12 CT2985-406661-W3 Left Arm Nozzle Assembly CT2986-401651-W2 working 1
TEL Tokyo Electron 3281-000148-13 PCB LST-2 3208-000148-11 P-8 Working 1
TEL Tokyo Electron 3281-000080-12 I/O PBC TVB9003-1/316 Used Working 2
TEL ACT 12 CT2985-406653-W3 Right Arm Nozzle Assembly CT2986-401643-W2 working 1
TEL Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used 6
TEL Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used 2
TEL ACT 12 300mm Develop Process Station Nozzle Bath Assembly CT2985-412389-W1 3
TEL Tokyo Electron 3281-000085-11 PCB Pincette Base 3208-000085-11 P-8 Used 3
TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used 5
TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used 1
TEL Tokyo Electron 3208-000083-11 PCB Indexer Interconnect 3281-000083-11 Used 2
Bio-Rad Y5301267 AIMS DC Servo Board PCB Card Quaestor Q7 Used Working 1
TEL Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used 1
TEL Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used 1
TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used 3
TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used 1
Sanyo Denki PMM-BD-5705-1 Servo Driver Pentasyn TEL Tokyo Electron P-8 Used 10
TEL Tokyo Electron 2985-406656-W1 DEV SCAN (12R) ASSY 300mm Right Used 1
TEL ACT 12 300mm Develop Process Station Air Valve Assembly Untested AS-IS 1
RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7 Used Working 1
TEL Tokyo Electron 2985-413485-W1 300mm Dev. Cup Assembly 2985-413522-W1 Used 1
TEL ACT 12 300mm CT2985-406657-W1 DEV SCAN (12L) ASSY Motor Scan Assembly (Left) 1
TEL ACT 12 300mm CT2985-415077-W2 SPIN SHUTTER (12-L) ASSY working 1
TEL ACT 12 300mm SMC Air Cylinder CT024-012243-1 CT024-012244-1 Pair working 1
AMAT Applied Materials 0100-11003 Stepper Drive Board Rev. C Working P5000 4
RGI Raster Graphics Inc 6000700-09A VME Board RG700 Quaestor Q7 Used Working 2
AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. A Used 1
Bio-Rad 8946-001 VME/Overlay Interface PCB Quaestor Q7 Used Working 1
TEL ACT 12 300mm set of 4 sliding doors Develop Process Station Tokyo Electron 1
TEL ACT 12 300mm Cup Base Assy. CT2985-461034-W1 working CT2985-461032-W1 2
TEL Tokyo Electron 2985-469941-W1 Process Block Robotics Arm X-Axis ACT12 Used 1
TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 3
TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 42
TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 1
TEL Tokyo Electron CT2986-401661-W4 300mm ACT Develop Process Station Assembly 1
TEL ACT 12 300mm CT2985-403941-W1 Rinse Resist Arm Assembly working 1
Nikon 2S020-061 Optistation PCB 2S701-009 Used Working 2
Nikon 2S020-061 Optistation PCB 2S701-009 Used Working 2
Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working 2
Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working 7
KLA-Tencor CRS1010 Laser Power Board 0046591-000 1
Nikon PSM-11520 Optical Fiber Light Source Optistation 3 200mm Used Working 3
Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working 1
Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working 5
Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working 1
Nikon 200mm Wafer Handler Assembly Optistation 3 Inspection System Used Working 1
Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 14
Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 14
Optem International 29-60-02 Fiber Optic Illumination Source Lot of 4 Used 1
Nikon Optistation 3 200mm Keyboard Console 2S070-031 working 2S700-386 2
Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working 2
Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working 2
Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working 1
Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working 3
LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working 1
LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working 2
LAM Research 4420 Solenoid Tray Assembly 853-013610-001 Rev.C  working 2
Force Computers SASI Board 810-017035-001 Rev. B  Working LAM 4420 880-12536-101 2
Lam Research 810-017031-2 ADIO PCB Board Rev. 2 Rainbow 4420 Used Working 4
Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working 1
KLA-Tencor CRS1010 Lens Filter Assy. 000056 working 12
Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working 1
Force Computers 880-12535-101 VME PCB Card Lam 810-017038-002 4420 Used Working 2
LAM Research 4420 Stepper Motor Driver Board 810-017016-1 Rev. B Working 7
KLA-Tencor 001003T Fast Z Controller PCB Rev. 06 CRS1010 Used Working 6
LAM Research 4420 Gap Drive Control Assembly 852-017350-003-3-C508 working 1
LAM Research 4420 852-017500-003-6-C220 CRT-Keypanel Chassis Assembly Working 1
LAM Research 4420 852-017500-001 CRT-Keypanel Chassis Assembly working 1
Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working 2
Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2 Used Working 2
LAM Research 715-130080-008 200mm End Effector Rev. BC Used Working 1
LAM Research 4420 852-011201-581-E1-230 Exit Loadlock Bottom View Assembly as-is 1
LAM Research 715-12165-8 B 200mm End Effector Used Working 1
LAM Research 715-12165-8 B 200mm End Effector Used Working 1
AP Tech Valve AP1001S Working 839-014929-800 Rev. D LAM 4420 2
LAM Research 4420 852-0112200-500-E1-230D 853-012123-500-E1-230 Harmonic Arm 1
AP Tech Valve AP1001S Working 839-014911-901 Rev. C LAM 4420 1
Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used 1
LAM Research 4420 852-0112200-003-E 853-012123-001-G-230S Harmonic Arm Drive 1
LAM Research Front EMO Box Assembly 852-017152-003 Rev. G Working  LAM 4420 2
MKS Instruments 100997144 Isolation Valve Lam Research 839-013521-001 4420 Used 1
LAM Research RF Switch Box Assembly 853-017163-101 Rev. 3 Working  LAM 4420 1
LAM Research 4420 839-013514-001 Weldment, Exit Load Lock Vacuum Man. working 2
LAM Research 4420 839-013513-001 Weldment, Entrance L.L. Vacuum Manifold working 2
Lam Research 853-017163-001-G-262B RF Switch Box 4420 Rainbow Used Working 1
KLA-Tencor CRS1010 Camera Lens Filter 002001 3
LAM Weldment He Line Manometer Adapter 839-029227-100 Rev. C Working LAM 4420 1
Millipore CMLA-21 Capacitance Diaphragm Gauge Lam Research 853-017643-003 Used 1
Lam Research 713-013236-001 Outer Gate Exit Safety Cover Rainbow 4420 Used 2
Lam Research Etcher Shuttle Assembly Cover 4420 Rainbow Used Working 2
LAM 4420 Manometer Cover Box 714-013234-001 Rev. C Working 2
LAM 4420 Left & Center RF Cover Plate 714-013218-001 Lot (4) 714-013219-001 1
LAM 4420 Heated Interconnect Manifold Assembly 853-013575-002 Working 2
LAM Research 4420 Upper Chamber Assembly Parts 839-011516-001 working 1
GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used 7
Lam Research 852-011061-503-C-CHMAIN Lower Main Chamber 4420 Etcher Used As-Is 1
LAM Research 4420 Upper Seal Plate Assembly 853-020936-001 working 1
LAM Research 4420 Upper Chamber Assembly Parts 853-011117-001 010250C1-AO01E 1
TEL Tokyo Electron MA02702 LCD PCB Board ORN-3B ACT12 Used Working 19
Tylan General CDL-21S06 Pressure Sensor working 2
Nikon Optistation Microscope Turret As Is 1
KLA-Tencor 001003 Fast Z Controller PCB Rev. 5 CRS1010 Used Working 3
TEL Tokyo Electron 3281-000148-12 PCB LST-2 Board 3208-000148-11 Used Working 4
Nikon PCB Control Board SH-SNS 4S018-907 Used Working NSR-S307E 3
Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used 1
Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used 1
Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used 1
Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used 1
Nikon PCB Control Board 4S013-481 Used Working NSR-S307E 1
Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E 1
Nikon Control Board 4S018-922-IU-DRV1-X4P Used Working NSR-S307E 1
Asahi Kogyosha 36020167 Chamber I/F Board PCB Nikon NSR-S307E Used Working 2
上一页: 库存清单23  下一页: 库存清单21